半导体/TFT-LCD制程统计建模与批间控制研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着现代工业的发展,半导体/液晶面板(Thin film transistor liquid crystal display, TFT-LCD)生产过程日趋大型化、复杂化,制造流程越来越精密,所使用的设备也越来越昂贵,导致提升产品的良率非常不易。本文针对半导体/TFT-LCD加工控制过程中的难点问题,从加工过程的输入输出数据出发,借助于统计学理论、数据挖掘方法,以及批间控制算法,分析和了解半导体/TFT-LCD加工子过程的相关特性,实现了对晶圆/玻璃基片品质的即时估计与实时控制。
     本文主要内容包括:
     1.在获得先进过程控制数据的基础上,直接从输入输出数据的相关性出发,提出一种基于动态典型相关分析的虚拟测量方法。该方法直接选取输入与输出向量中若干具有代表性的综合指标(变量的线性组合),用这些指标的最大相关关系表示原始输入输出向量之间的关系,将高维空间的过程变量投影到低维空间;同时,利用均值与协方差矩阵,给出一种递归的辨识算法,可大大减少算法的在线计算量,增强算法的自适应能力,并克服加工过程的非线性与预防性维护所造成模型参数变化。通过数值仿真,对比传统的主成分分析与偏最小二乘算法的结果,定性的分析了本文算法的优点。最后,以实际TFT-LCD的溅镀制程为例,验证本文算法的有效性。
     2.随着生产技术的发展,半导体/TFT-LCD制程已经由单一产品的生产模式发展为多产品共线的生产模式。本文利用统计学理论,针对高度混合制程,提出一种基于多变量变异数分析(MANCOVA)的虚拟测量方法。首先,采用逐步回归算法,找出影响产品品质的关键变量;在此基础上,依据共变异数分析结果辨识出混合制程中各产品的产品效应(product-effect);并以滑动平均时间序列分析(IMA(1,1))追踪先进过程控制所无法测量的过程变量变化与噪声影响,提高算法的预测精度;TFT-LCD蚀刻制程验证了本文算法的有效性。
     3.针对生产工艺约束条件的可调整性以及控制目标的区间特性,提出一种带区域控制的EWMA算法(z-EWMA)。首先,针对生产工艺约束条件的可调整性,将系统的区域性目标转化为系统不等式约束条件,并以模型输出误差为变量,给出一种具有积分特点的指标函数,在保证产品品质的前提下,可节约生产成本,TFT-LCD蚀刻制程的逆向工程研究表明:在控制动作相对稳定的情况下,z-EWMA控制器可以改善蚀刻线宽的制程能力(Cpk),节约生产成本与时间,提升系统的产能。
     4.针对并行机台的性能差异,提出一种机台操作变量性能匹配算法。首先,在分析操作变量随时间分布特性的基础上,给出一种机台状态变量提取方法,降低变量的维度;其次,分析各机台加工产品的性能差异,用F检验辨识出优/劣机台;在多元统计分析的基础上,提出“R2与p-value分布图”概念,并诊断出影响机台性能的关键变量,从而实现对机台相应的控制器参数进行调整。在半导体的电镀制程中的实际应用验证了本文算法的有效性。
With the development of modern industry, the semiconductor/TFT-LCD manufacturing processes are becoming larger and more complex. At the same time, the manufactured flows have also getting more and more precise which can make the equipments become more expensive. So it's difficult to improve the yield of products. Aiming at the challenges of the semiconductor/TFT-LCD processes, some modeling and controller designed algorithms including statistical theory, data mining method and Run-to-Run control etc., are proposed in this paper. Through the proposed methods, the characteristics of process can be understood and the quality of products can be estimated and improved timely.
     The contributions in this paper as follows:
     1. Based on the data collected from the advanced process control system, a virtual metrology by using the dynamic canonical correlation analysis (DCCA) is presented. Then, some representative aggregative indicators which maximize the correlation between the process variables and quality variables are selected, and the high dimensionality in the input data can be settled by projecting the original process variables onto a space defined by orthogonal principal components (PCs). To overcome the nonlinear of manufacturing processes and uncertainty caused by preventive maintenance, the recursive forms for mean and covariance matrix are derived and virtual metrology model based on DCCA is presented. Comparing with the traditional principal component analysis and partial least squares in the numerical simulation, the proposed model shows several good characteristics. Superiority of the proposed model is also presented when it applied to an industrial sputtering process.
     2. In the modern manufacturing industry, the same products or the products with same recipe will be produced on different tools, and many different products with different recipes will also be produced on the same tool. This production mode is named as high-mix manufacturing process and is very common in semiconductor/TFT-LCD industries. To estimate the product quality of the high-mix manufacturing process, a virtual metrology based on MANCOVA and IMA(1,1) is proposed, which combines the advantage of both standard statistical methods and time serial analysis. Based on standard stepwise regression, the variables selected into the VM model are termed "'key variables" and may contain important information regarding the source of variation of the current process condition. Then, the statistical MANCOVA technique is adopted to build conjecture model for products with different specifications considering the product effects. On the other hand, by introducing the concept of time serial, the IMA (1,1) would reflect the current unmeasured information of the process, and thus the accuracy of the VM model would be substantially improved. Applications on the wet etching process demonstrate that the VM model built by the proposed approach offers good predictions of product quality of different products, which are highly desirable for semiconductor/TFL-LCD industrial applications.
     3. A z-EWMA algorithm is investigated under the flexible process conditions and zone target. For the constraints adjustment of some process, the acceptability of flexible inequality constraint condition that affects the system dynamics are described quantificationally. Then, an adjustment index which depends upon the prior information is employed in this paper. As a result of control, the consumption of manufacturing process will be reduced. The advantages of proposed control scheme are demonstrated by benchmark simulation and reversed engineered industrial applications.
     4. Tools or chambers at a single step are designed to perform the same processing in semiconductor industry. In practice, tools or chambers differ and do not process lots identically. To diagnose any reasonable difference between golden and inferior chambers, a performance matching algorithm based on statistical methods is proposed in this paper. Firstly, several SVID approaches are provided to reduce the high dimensionality of process variables. Then, F-test is used to diagnose the golden and inferior chambers. Thirdly, a synopsis of analysis results in the chart of R2 statistics vs. p-value is presented. This framework provides a systematic method of drawing inference from the available evidence without interrupting the normal process operation. The proposed concept is illustrated by an electroplating process in a local fabrication unit
引文
[1]曹桂华.我国集成电路产业自主创新战略研究[D].武汉:武汉理工大学,2007.
    [2]许居衍,赵建坤.集成电路产业的竞争战略地位[J].微电子技术.2000,28(2):1-5.
    [3]燕斌.我国集成电路产业发展的现状分析与对策研究[D].天津:天津大学,2004.
    [4]王阳元,黄如,刘晓彦等.面向产业需求的21世纪微电子技术的发展(上)[J].物理,2004,33(6):407-413.
    [5]周化举.中国半导体产业国际竞争力评价与分析[D].南京:东南大学,2007.
    [6]2012年全球LED晶圆产能将增加27%.http://info.ledp.hc360.com/2012/02/08111953764.shtml,中国聪慧网,2012.2.
    [7]2011~2015年中国集成电路行业市场调研及投资前景预测报告.中国报告网,2011.11.
    [8]江志斌.半导体芯片制造系统建模与优化调度控制[M].上海:上海交通大学出版社,2011:1-3.
    [9]中国IC芯片制造业迅猛发展,挑战依然严峻http://www.bytrade.cn/news/detail/12442.htm,中国百贸网,2011.11.
    [10]台积电:最快2015年量产 14nm、450毫米大晶圆.http://server.zdnet.com.cn/server/201 1/1226/2071816.shtml, ZDNet中国,2011.12.
    [11]2011-2015年中国半导体市场与发展趋势研究报告.北京国际商务调查顾问有限公司.
    [12]孙承夏,郭禾.一种有效的遗传算法在重入式生产调度问题中的应用[J].软件,2010,31(11):62-67.
    [13]Ma M D, Wong D S H, Jang S S. Fault detection based on statistical multivariate analysis and microarray visualization [J]. IEEE Trans. Industrial Informatics,2010,6(1):18-24.
    [14]Chang Y J, Kang Y, Hsu, et al. Virtual metrology technique for semiconductor manufacturing [C]. In proceedings of the 2006 international joint conference on neural network (ISSM 2006), Vancouver, BC, Canada,2006.
    [15]Su A J, Jeng J C, Huang H P, et al. Control relevant issues in semiconductor manufacturing: Overview with some new result [J]. Control Engineering Practice,2007,15(10):1268-1279.
    [16]Cheng Y, J C, Cheng F T. Application development of virtual metrology in semiconductor industry [C]. In proceedings of the 32nd annual conference of IEEE industrial society (IECON 2005). LosAlamotos. CA, USA,2005:124-129.
    [17]Chen P, Wu S, Lin J, et al. Virtual metrology:A solution for wafer to wafer advanced process control [C]. In proceedings of the IEEE international symposium on semiconductor manufacturing (ISSM 2005), San Jose, CA, USA,2005.
    [18]Besnard J, Toprac A. Wafer-to-wafer virtual metrology applied to run-to-run control [C]. In proceedings of the 3nd 1SMI symposium on manufacturing effectiveness, Austin, TX, USA, 2006.
    [19]张淑宁.湿法冶金铜萃取组分含量软测量方法研究[D].沈阳:东北大学,2008.
    [20]Sarkar P, Gupta S K. Steady state simulation of continuous-flow stirred-tank slurry propylene polymerization reactors [J]. Polymer Engineering and Science,1992,32(11):732-742.
    [21]Sarkar P, Gupta SK. Dynamic simulation of propylene polymerization in continuous flow stirred tank reactors [J]. Polymer Engineering and Science,1993,33(6):368-374.
    [22]McAuley, K B, MacGregor, J F. On-line inference of polymer properties in an industrial polyethylene reactor [J]. AIChE Journal,1991,37(6):825-835.
    [23]Sato C, Ohtani T, Nishitani H. Modeling, simulation and nonlinear control of a gas-phasepolymerization process [J]. Computers and Chemical Engineering,2000, 24(2):945-951.
    [24]李春柏.基于神经网络的软测量技术研究与应用[D].沈阳:东北大学,2008.
    [25]李春富.基于数据的软测量建模方法及其应用的研究[D].北京:清华大学,2004.
    [26]俞金寿,刘爱伦,张克进.软测量技术及其在石油化工中的应用[M].北京:化学工业出版社,2000:17-19.
    [27]Linko S, Luopa J, Zhu Y H. Neural network as software sensors in enzyme production [J]. Journal of Biotechnology,1997,52(3):257-266.
    [28]Radhakrishnan V R, Mohamed A R. Neural networks for the identification and control of blast furnace hot metal quality [J]. Journal of Process Ccontrol,2000,10(6):509-524.
    [29]Hsieh K L, Tong L I. Optimization of multiple quality reponses involving qualitative and quantitative characteristics in IC manufacturing using neural networks [J].2001, Computers in Industry,46:1-12.
    [30]Lin T H, Cheng F T, Wu W M, et al. NN-based key variable selection method for enhancing virtual metrology accuracy [J]. IEEE transactions on Semiconductor Manufacturing,2009, 22(1):204-211.
    [31]Bhatikar S R, Mahajan R L. Artificial neural-network-based diagnosis of CVD barrel reactor [J]. IEEE transactions on Semiconductor Manufacturing,2002,15(1):71-78.
    [32]Stokes D, May G. Real-time control of reactive ion etching using neural networks [J]. IEEE transactions on Semiconductor Manufacturing,2000,13(4):469-480.
    [33]Yi J, Sheng Y, Xu C S. Neural network based on uniformity profile control of linear chemical-machanical planarization [J]. IEEE transactions on Semiconductor Manufacturing, 2003,16(4):609-620.
    [34]Lee S F, Spanos C J. Prediction of wafer state after plasma processing using real-time equipment data [J]. IEEE transactions on Semiconductor Manufacturing,1995, 8(3):252-261.
    [35]Kim B, Kim D W, Park G T. Prediction of plasma etching using a polynomial neural network [J]. IEEE transactions on Plasma Science,2003,31 (6):1330-1336.
    [36]Kim B, Hone W S. Use of neural network to characterize a low pressure temperature effect on refractive property of silicon nitride film deposited by PECVD [J]. IEEE transactions on Plasma Science,2004,32(1):84-89.
    [37]Su Y C, Hung M H, Cheng F T. A processing quality prognostic scheme for plasma sputtering in TFT-LCD manufacturing [J]. IEEE transactions on Semiconductor Manufacturing,2006, 19(2),183-194.
    [38]Karayiannis N B. Reformulated radial basis neural networks trained by gradient descent [J]. IEEE transactions on Neural Networks,1999,10(3):657-671.
    [39]McLoone S, Irwin G. Nonlinear optimization of RBF networks [J]. International Journal of Systems Science,1998,29(2):179-189.
    [40]Wolpert D H. Stacked generalization [J]. Neural Networks,1995,5:241-259.
    [41]Sridhar D V, Seagrave R C. Process modeling using stacked neural networks [J]. AIChE Journal,1996,42(9):2529-2539.
    [42]Zhang J, Morris A J, Martin E B, et al. Inferential estimation of polymer quality using stacked neural networks [J]. Computers and Chemical Engineering,1997,21:1025-1030.
    [43]Vapink V. The nature of statistical learing theory [M]. New York:Springer Verlag,1995.
    [44]Dumais S, Chen H. Hierarchial classification of Web content[C]. In proceedings of the 23rd annual ACM SIGIR conference on research and development in information retrieval. Athens. Greece,2000:235-263.
    [45]Park J, Jwon I H, Kim S S. et al. Spline regression based feature extraction for semiconductor process fault detection using support vector machine [J]. Expert Systems with Applications. 2011,38(5):5711-5718.
    [46]Mahadevan S, Shah S L. Fault detection and diagnosis in process data using one-class support vector machines [J]. Journal of Process Control,2009,19(10):1627-1639.
    [47]Qi C K, Li H X. A LS-SVM modeling approach for nonlinear distributed parameter processes [C]. In proceedings of the 7th world congress on intelligent control and automation, Chong Qing, China,2008:569-574.
    [48]Liu X W, Zhang G M, Zhan Y B, et al. An incremental feature learning algorithm based on least square support vector machine [C]. In proceedings of the 2nd international frontiers in algorithmics workshop, Chang Sha, China,2008:330-338.
    [49]Li B, Song S J, Li K. Improved conjugate gradient implementation for least squares support vector machine [J]. Pattern Recognition Letters,2012,33(2):121-125.
    [50]Chou P H, Wu M J, Chen K K. Integrating support vector machine and genetic algorithm to implement dynamic wafer quality prediction system [J]. Expert Systems with applications, 2010,37(6):4413-4424.
    [51]Lin Z C, Wu W J. Multiple linear regression analysis of the overlay accuracy model [J]. IEEE transactions on Semiconductor Manufacturing,1999,12(2):229-237.
    [52]Yeh, Tsu M. Applying linear regression on the forecasting of wavelength shift in LED lamp [J]. Journal of Qualiy,2010,17(5):421-434.
    [53]Pearson K. On lines and planes of closest fit to systems of points in space [J]. Philosophical Magazine,1901,6(2):559-572.
    [54]Zhao C H, Wang F L, Lu N Y, et al. Stage-based soft-transition multiple PCA modeling and on-line monitoring strategy for batch procrsses [J]. Journal of Process Control,2007, 17(9):728-741.
    [55]Ding S, Zhang P, Ding E, et al. On the application of PCA technique to fault diagnosis [J]. Tsinghua Science& Technology,2010,15(2):138-144.
    [56]Zhang Y W, Li S, Teng Y D. Dynamic process monitoring using recursive kernel principal component analysis [J]. Chemical Engineering Science,2012,72(16):78-86.
    [57]Jeng J C. Adaptive process monitoring using recursive PCA and moving window PCA algorithms [J]. Journal of the Taiwan Institute of Chemical Engineers,2010,41(4):475-481.
    [58]Li W H, Yue H H, Valle C S, et al. Recursive PCA for adaptive process monitoring [J]. Journal of Process Control,2000,10(5):471-486.
    [59]Ge Z Q, Song Z H. Online monitoring of nonlinear multiple mode processes based on adaptive local model approach [J]. Control Engineering Practice,2008,16(12):1427-1437.
    [60]Lin J S. A novel design of wafer yield model for semiconductor using a GMDH polynomial and principal component analysis [J]. Expert Systems with Applications,2012, 39(8):6665-6671.
    [61]Wold H. Nonlinear estimation by iterative least squares procedures [M]. Research Papers in Statistics,1966, Wiley, New York.
    [62]Helland I.S. Some theoretical aspects of partial least squares regression [J]. Chemometrics and Intelligent Laboratory Systems,2001,58(2):97-107.
    [63]Lynn S, Ringwood J V, Macgearailt N. Weighted windows PLS models for virtual metrology of an industrial plasma etch process [C]. In proceedings of the IEEE international conference on industrial technology, Vina del Mar, Chile,2010:309-314.
    [64]Kourti T, Application of latent variable methods to process control and multivariate statistical process control in industry [J]. International Journal of Adaptive Control Signal Processing, 2005,19(4):213-246.
    [65]Blanco M, Coello J, Iturriaga H, et al. Partial least-squares regression for multicomponent kinetic determinations in linear and non-linear systems [J]. Analytica Chimica Acta,1995, 303(2-3):309-320.
    [66]Wentzell P D, Montoto L V. Comparison of principal components regression and partial least squares regression through generic simulations of complex mixtures [J]. Chemometrics and Intelligent Laboratory Systems,2003,65(2):257-279.
    [67]David D R. A weighted view on the partial least squares algorithm [J]. Automatica,2000, 36(6):831-850.
    [68]Nicolaas M F. Comparison of two recently proposed expressions for partial least squares regression prediction error [J]. Chemometrics and Intelligent Laboratory Systems,2000, 52(2):123-134.
    [69]Rosario R. Prediction intervals in partial least squares regression via a new linearization approach [J]. Chemometrics and Intelligent Laboratory Systems.2010.103(2):122-128.
    [70]Barros A S, Pinto R, Delgadillo I, et al. Segment principal component transform-partial least squares regression [J]. Chemometrics and Intelligent Laboratory Systems.2007,89(2):59-68.
    [71]Song K, Jang P Y, Cho H, et al. Partial least square-based model predictive control for large-scale manufacturing processes [J]. HE transactions (Institute of Industrial Engineerings), 2002,34(10):881-890.
    [72]Dupret Y, Kielbasa R. Modeling semiconductor manufacturing yield by test data and partial least squares [C]. In proceedings of 16* international conference on microelectronics, Tunis, Tunisia,2004:404-407.
    [73]Hu Y, Wang L, Ma H H, et al. Online nonlinear process monitoring using kernel partial least squares [J]. Huagong Xuebao,2011,62(9):2555-2561.
    [74]Zhang X, Yan W W, Shao H H. Nonlinear multivariate quality estimation and prediction based on kernei partial least squares [J].2008,47(4):1120-1131.
    [75]Chen J, Liu K Y, Munoz J. Context-KSVM-PLS based run-to-run control for nonlinear MIMO processes [J]. International Journal of Innovative Computing, Information and Control,2011,7(7):4139-4148.
    [76]Khan A A, Moyne J R, Tibury D M. Virtual metrology and feedback control for semiconductor manufacturing processes using recursive partial least squares [J]. Journal of process control,2008,18(10):961-974.
    [77]彭倩倩.基于典型相关分析的鉴别特征抽取方法研究[D].扬州:扬州大学,2008.
    [78]王雨春.CCA在数字图像处理中的应用研究[D].武汉:武汉理工大学,2006.
    [79]Box G, Luceno A. Statistical control by monitoring and feedback adjustment [M]. New York:Wiley,1997:257-315.
    [80]Tsung F, Wu H, Nair V N. On the efficiency and robustness of discrete proportional-integral control schemes [J]. Technometrics,1998,40(3):214-222.
    [81]Tsung F, Shi J J. Integrated design of run-to-run P1D controller and SPC monitoring for process disturbance rejection [J]. HE transactions (Institute of Industrial Engineers),1999, 31(6):517-527.
    [82]Yu F J, Yang Y Y, Wang M J, et al. Using EWMA control schemes for monitoring wafer quality in negative binomial process [J]. Microelectronics Reliability,2011,51(2):400-405.
    [83]Epprecht E K, DeLuna M A, Aparisi F. Joint EWMA charts for multivariate process control: Markov chain and optimal design [J]. International journal of Production Research,2011, 49(23):7157-7169.
    [84]Gelano G, Castaqliola P, Trovato E, et al. Shewhart and EWMA t control charts for short production runs [J]. Quality and Reliability Engineering International,2011,27(3):313-326.
    [85]Charongrattanasakul P, Pongpullponsak A. Minimizing the cost of intergrated systems approach to process control and maintenance model by EWMA control chart using genetic algorithm [J]. Expert System with applications,2011,38(5):5178-5186.
    [86]Box G E P, Jenkins G M. Further contributions to adaptive quality control:simultaneous estimation of dynamics:nonzero costs [J]. Bulletin of the International Statistical Institute, 1963,34:943-974.
    [87]Sachs E, Hu A, Ingolfsson A. Run by Run process control:combining SPC and feedback control [J]. IEEE transactions on Semiconductor Manufacturing,1995,8(1):26-43.
    [88]Ingolfsson A, Sachs E. Stability and sensitivity of an EWMA controller [J]. Journal of Quality Technology,1993,25(4):271-287.
    [89]Smith T. Novel techniques for the run by run process control of chemical-mechanical polishing [D]. Electrical Engineering and Computer Science Dept., MIT, Cambridge, MA 1996.
    [90]Wang J, He Q P, Qin S J. Stability analysis and optimal tuning of EWMA controllers-gain adaptation vs intercept adaptation [J]. Journal of Process Control,2010,20(1):134-142.
    [91]Tseng S T, Yeh A B, Chan Y Y. A study of variable EWMA controller [J]. IEEE Transactions on Semiconductor Manufacturing,2003,16(4):633-638.
    [92]Bulter S W, Stefani J. Application of predictor corrector control to polysilicon gate etching [C]. In proceedings of the American Control Conference, San Francisco, USA, 1993:3003-3007.
    [93]Bulter S W, Stefani J. Supervisory run-to-run control of a polysilicon gate etch using in situ ellipsometry [J]. IEEE transactions on Semiconductor Manufacturing,1994,7(2):193-201.
    [94]Castillo E D. Long run and transient analysis of a double EWMA feedback controller [J]. HE transactions (Institute of Industrial Engineers).1999.31(12):! 157-1169.
    [95]Ning Z, Moyne J R, Smith T, et al. A comparative analysis of run to run control algorithm in the semiconductor industry[C]. In proceedings of the advanced semiconductor manufacturing conference, Piscataway, NJ,1996:375-381.
    [96]Smith T, Boning D. A self-tuning EWMA controller utilizing Artificial Neural Network function approximation techniques [J]. IEEE transactions on Components Packaging and Manufacturing Technology-Part C,1997,20(2):121-132.
    [97]Guo R S, Chen A, Chen A A, et al. A self-tuning Run-by-Run process controller for processes subject to random disturbances [J]. Journal of the Chinese Institute of Engineers,1999, 22(5):627-638.
    [98]Chen A, Guo R S. Aged based double EWMA controller and its application to CMP process [J]. IEEE transactions on Semiconductor Manufacturing,2011,14(1):11-19.
    [99]Good R, Qin S J. Performance synthesis of Multiple Input-Multiple Output (MIMO) Exponentially Weighted Moving Average (EWMA) Run-to-Run controllers with metrology delay [J]. Industrial& Engineering Chemistry Research,2011,50(3):1400-1409.
    [100]Wang G B, Lin E, You H, et al. Wafer by wafer control in CMP system with metrology delay [C].2004 Semiconductor manufacturing technology workshop proceedings, Taiwan, 2004:178-181.
    [101]Pasadyn A J, Edgar T F. Observability and state estimation for multiple product control in semiconductor manufacturing [J]. IEEE transactions on Semiconductor Manufacturing, 2005,18(4):592-604.
    [102]Zhang J H, Chu C C, Munoz J, et al. Minimum entropy based on run-to-run control for semiconductor processes with uncertain metrology delay [J]. Journal of Process Control, 2009,19(10):1688-1697.
    [103]Jin M, Tsung F. Smith-EWMA run to run control schemes for a process with measurement delay [J]. HE transactions (Institute of Industrial Engineers),2009, 41(4):346-358.
    [104]Tseng S T, Chou R J, Lee S P. A study of a multivariate EWMA controller [J], HE transactions (Institute of Industrial Engineers),2002,34(6):541-549.
    [105]Castillo E D, Rajagopal R. A multivariate double EWMA process adjustment scheme for drifting processes [J]. HE transactions (Institute of Industrial Engineers).2002. 34(12):1055-1068.
    [106]Rajagopal R, Castillo E D. An analysis and MIMO extension of a double EWMA run-to-run controller for non-squared systems [J]. International Journal of Reliability. Quality and Safety Engineering,2003,10(4):417-428.
    [107]Lee S P, Chou R J, Tseng S T. Stability and performance of a double MEWMA controller for drift MIMO system [J]. HE transactions (Institute of Industrial Engineers). 2008,40(7):690-705.
    [108]Chen J H, Wang F. PLS based dEWMA run to run controller for MIMO non-squared semiconductor processes [J]. Journal of process control,2007,17(4):309-319.
    [109]Fan S S K, Chang Y J. Multiple-input multiple-output double exponentially weighted moving average controller using partial least squares [J]. Journal of process control. 2010(6):734-742.
    [110]Wu W, Maa C Y. Double EWMA controller using neural network-based tuning algorithm for MIMO non-squared systems [J]. Journal of Process Control,2011, 21(4):564-574.
    [111]Miller M L. Impact of multi-product and process manufacturing on run-to-run control [C]. In proceedings of SPIE-The international society for optical engineering, Austin, TX, United states,1997:138-146.
    [112]Bode C A, Wang J, He Q P, et al. Run to Run control and state estimation in high-mix semiconductor manufacturing [J]. Annual Reviews in Control,2007,31(2):241-253.
    [113]Firth S K, Campbell W J, Toprac A, et al. Just-in-time adaptive disturbance estimation for run-to-run control [J]. IEEE transactions on Semiconductor Manufacturing,2006, 19(3):298-315.
    [114]Wang J, He Q P, Edgar T F. State estimation in high-mix semiconductor manufacturing [J]. Journal of Process Control,2009,19(3):443-456.
    [115]Prabhu A V, Edgar T F. A new state estimation method for high-mix semiconductor manufacturing processes [J]. Journal of Process Control,2009,19(7):1149-1161.
    [116]Wang J, He Q P. A new Bayesian approach for fast disturbance detection and classification in icroelectronics manufacturing [J]. IEEE transactions on Semiconductor Manufacturing.2007.20(2):126-136.
    [117]Ma M D. Chang C C. Wong D S H. et al. Identification of tool and product effects in a mixed product and parallel tool environment [J]. Journal of Process Control,2009. 19(4):591-603.
    [118]Ma M D, Chang C C, Jang S S. et al. Mixed product run-to-run process control-an ANOVA model with AR1MA disturbance approach [J]. Journal of process control,2009, 19(4):604-614.
    [119]Zheng Y, Lin Q H, Wong D S H. et al. Stability and performance analysis of mixed product Run-to-Run control [J]. Journal of process control,2006,16(4):431-443.
    [120]Zheng Y, B Ai, Wong D S H, et al. An EWMA algorithm with a cycle resetting (CR) discount factor for drift and fault of high-mix Run-To-Run control [J]. IEEE transaction on Industrial Informatics,2010,6(2):229-242.
    [121]Chang C C, Pan T H, Wong D S H, et al. A G&P EWMA algorithm for high-mix semiconductor manufacturing processes [J]. Journal of Process control,2011,21(1):28-35.
    [122]Kang P, Lee H J, Cho S, et al. A virtual metrology system for semiconductor manufacturing [J]. Expert Systems with Applications,2009,36(10):12554-12561.
    [123]Zhou D H, Gang L, Qin S J. Total projection to latent structures for process monitoring [J].AIChE Journal,2010,56(1):168-178.
    [124]Wolfgang H, Leopold S. Applied multivariate statistical analysis [M]. New York, Springer,2007:321-325.
    [125]David R H, Sandor S, John S T. Canonical correlation analysis; An overview with application to learning methods[J]. Neural Computation,2004,16(12):2639-2664.
    [126]Pan J N. A study of multivariate pre-control charts [J]. International Journal of Production Economics,2007,105(1):160-170.
    [127]侯明阳.基于变权值EWM的混合产品批间控制方法研究[D].武汉:华中科技大学,2008.
    [128]王惠文.偏最小二乘回归方法及其应用[M].北京:国防工业出版社,1999:57-60.
    [129]席裕庚.复杂工业过程的满意控制[J].信息与控制,1995,24(1):14-20.
    [130]邹涛.约束模型预测控制系统的分析与设计[D].上海:上海交通大学,2004.
    [131]Yamartino J M. Split-phase chamber modeling for chamber matching and fault detection [P]. U.S.Patent 7624003, Nov.24,2009.
    [132]Bark K H, Coonan B, Carbery, et al. Process and chamber health monitoring of plasma enhanced Ti deposition process through high performance Vl-probe [C]. In proceeding of 16lh annual 2007 international symposium on semiconductor manufacturing, Santa Clara, United states,2007:388-391.
    [133]Tesauro M, Roche G Instrumented wafers enable etch chamber matching [J]. Solid State Technology,2008,51(6):25-29.
    [134]Tison S. Chamber matching and on-Tool MFC adjustment achieve process repeatability [J]. Solid State Technology,2005,48(5):53-59.
    [135]Davis M F, Lian L. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring [P]. U.S.Patent 7695987, May.3,2007.
    [136]Cherry G A. Methods for improving the reliability of semiconductor fault detection and diagnosis with principal component analysis [D]. University of Texas, Austin,2006.
    [137]Cadima J F, Jolliffe I T. Loadings and correlations in the interpretation of principal components [J]. Journal of Applied Statistics,1995,22(2):203-314.
    [138]Yan K W, Johnson E W, Stapleton R, et al. Double bump flip-chip assembly [J]. IEEE transactions on electronics packaging manufacturing,29(2),2006.
    [139]Park B N, Sohn Y S, Choi S Y. Effects of a magnetic field on the copper metallization using the electroplating process [J]. Microelectronic Engineering,2008,85(2):308-314.
    [140]Kim B H, Kim J B, Kim J H. A highly manufacturable large area array MEMS probe card using electroplating and flipchip bonding [J]. IEEE Transactions on Industrial Electronics,2009,56(4):1079-1085.
    [141]Rokach L, Maimon O. Top-down induction of decision trees classifiers-A survey [J]. IEEE Transactions on Systems, Man and Cybernetics Part C:Applications and Reviews, 2005,35(4):476-487.
    [142]Habili N, Cheng C L, Moini A. Segmentation of the face and hands in sign language video sequences using color and motion cues [J].2004,14(8):1086-1097.
    [143]Maulik U, Bandyopadhyay S, Saha I. Integrating clustering and supervised learning for categorical data analysis [J]. IEEE Transactions on Systems, Man, and Cybernetics Part A: Systems and Humans.2010.40(4):664-675.
    [144]Saeed K. Nammous M K A. Speech-and-speaker identification system:Feature extraction, description, and classification of speech-signal image [J]. IEEE Transactions on Industrial Electronics.2007.54(2):887-897.
    [145]Yan H, Ho W K, Ling K V, et al. Multi-zone thermal processing in semiconductor manufacturing:Bias estimation [J]. IEEE Transactions on Industrial Informatics,2010, 6(2):216-228.
    [146]Bors A G, Nasios N. Kernel bandwidth estimation for nonparametric modeling [J]. IEEE Transactions on Systems, Man, and Cybernetics, Part B:Cybernetics,2009,39(6):1543-1555.