片上网络映射及路由器关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着片上系统集成的IP核数目不断增加,传统总线和多层总线在实际应用中由于受到扩展性和带宽的限制,已无法满足片上系统IP核之间的通信需求。片上网络(Network-on-Chip, NoC)通信架构作为一种最有前景的替代技术,被提出并用来解决总线通信架构所面临的问题。NoC采用数据包传输的微网络来实现IP核之间通信。与宏观网络不同,NoC由于受到功耗、芯片面积、通信延迟等限制,对设计者提出了一系列新的挑战。
     本文主要研究NoC设计中的关键技术问题,着重对片上网络IP核映射方法、路由算法以及片上路由器结构设计进行深入研究。本文的主要研究成果如下:
     1.在NoC设计中,IP核映射是优化网络性能和能耗的有效方法之一。本文对蚁群算法进行了改进,实现了基于遗传蚁群的低能耗映射算法,该算法使用了与拓扑结构无关的启发信息,因此适用于多种拓扑结构的NoC映射问题。此外,为防止蚁群算法陷入早熟停滞状态,在改进算法中引入染色体杂交和轮盘赌选择等策略。实验结果表明本算法能有效解决多拓扑结构下的NoC映射问题,与基本蚁群映射算法相比,使用本算法对规模为3×3,4×4和5×5的NoC进行映射求解时,通信能耗可分别节约2.2%,6.3%和8.1%。
     2.针对三维网格NoC拓扑结构特点,改进了片上通信能耗计算模型,并基于拓扑结构划分构造了一种快速低能耗映射方法SYMMAP。实验结果表明,与现有启发式映射算法相比,对于三维网格NoC映射求解,SYMMAP在映射速度、通信能耗等方面均具有较大优势。
     3.不同映射结果会对NoC的最大通信延迟、功耗、可靠性、峰值温度等参数产生不同影响。NoC映射优化目标有时候需要多方面考虑,针对这个问题,本文综合考虑NoC映射中的热和功耗问题,使用多目标蚁群算法(MOACA)对这两个指标同时优化。MOACA算法能有效找到pareto优化前沿,实现映射后功耗和热平衡的同时优化。实验结果表明,使用MOACA获得的映射方案在降低芯片功耗的同时,也能降低芯片峰值温度。以多视窗显示应用(MWD)为例,与使用单目标功耗优化映射算法PBB所获得的映射方案相比,使用MOACA所获得的映射方案可在芯片功耗大致不变的情况下,峰值温度降低4℃左右。
     4.路由算法对网络性能和通信效率有着重大影响,设计并实现路由算法是NoC设计过程中的关键步骤之一。针对三维网格NoC映射方案和被映射IP核的通信特征,本文基于通道依赖图设计了一种面向应用的路由路径分配方法。这种路径分配方法可在带宽约束下,实现路由路径自适应性最大化。此外,这种方法无需引入虚拟通道就可保证路由路径无死锁。通过分析和模拟证明,与常见无死锁路由算法相比,本方法产生的路由路径可有效提高网络性能。
     5.NoC容错技术的主要目标是保证片上路由器产生故障时,片上通信仍不中断。容错路由是一种让NoC具备容错能力的技术手段之一。针对二维网格NoC中的多故障路由器问题,本文提出一种具有多故障容错能力的路由算法MFRT。 MFRT采用动态配置路由路径来容忍多故障路由器在NoC中的不同分布。理论分析和实验结果表明,MFRT能够加强系统通信可靠性,提供可观的NoC容错能力。与XY路由算法以及单故障容错路由算法相比,在路由器故障发生概率为10%的情况下,使用MFRT,片上通信可靠性分别能提高48.3%和9.56%。
     6.针对片上缓冲消耗硬件资源多且使用效率低的问题,本文设计了名为PSBR的新型片上路由器。该路由器通过缓冲共享的方式提高缓冲的使用效率。为简化PSBR控制电路,本文通过分析片上通信负载分布,将部分端口共享缓冲策略应用于PSBR设计,并基于Verilog硬件描述语言实现了PSBR。综合结果和模拟结果表明,与典型片上路由器相比,在相同通信性能指标下,PSBR可分别节约15%的芯片面积和28.2%的路由器功耗。本文的研究成果为NoC设计与优化提供了一系列可行的方案,为进一步提高NoC的可靠性,可扩展性及实际运行性能提供了理论基础和实践经验。
With the number of integrated IP cores increasing in current System-on-Chip (SoC), limited by scalability and bandwidth in practical application, traditional bus or multi-layer bus cannot meet the needs of communications between IP cores. As the most promising alternative technique, Network-on-Chip (NoC) communication architecture has emerged to address the problems which the traditional on-chip bus has been faced with. NoC uses micro-network for inter-IP communications by packet. However, different from the macro-network, NoC puts forward a series of new challenges, including constraints in power, area and communication latency.
     This dissertation makes an attempt to touch on the key technical problems related to NoC design. More precisely, the author makes an in-depth study on IP core mapping methodologies, routing algorithms and router architecture. The specific contributions are as follows.
     1. For NoC design, IP core mapping is one of the effective ways to optimize network performance and energy consumption. In this dissertation, the author improves the ant colony algorithm and makes it possible to solve the low energy consumption mapping problem, which is based on genetic and ant colony (GAAC) mapping algorithm. This algorithm has used heuristic information, which is irrelevant to topological structure, so that it applies to NoC mapping problems of different topological structures. In addition, to prevent this algorithm from getting into premature stagnation, strategies such as crossover of chromosomes and roulette wheel selection have been introduced in GAAC mapping algorithm. The experimental results show that GAAC mapping algorithms could solve NoC mapping problems of different topological structures effectively. Compared with basic ant colony mapping algorithm, when this algorithm is used to solve mapping problem of the3×3,4×4and5×5NoCs, the communication energy can be saved respectively about2.2%,6.3%and8.1%.
     2. Based on the topology characteristics of3D mesh NoC, the corresponding energy model has been improved. At the same time, a fast and low energy consumption mapping algorithm SYMMAP is proposed based on topology partition. According to the experimental results, when solving3D mesh NoC problems, SYMMAP takes great advantages over the existing heuristic mapping algorithm in terms of mapping speed and energy consumption.
     3. Different mapping results may have different impacts on NoC metrics such as maximum latency, power, fault robustness, peak temperature etc. Different aspects need to be taken into consideration when optimizing NoC mapping objects. For this problem, this dissertation takes account of both power and temperature problems in NoC mapping, using multi-objective ant colony algorithm (MOACA) to optimize these two NoC metrics. MOACA algorithm can find the pareto-optimal front effectively and keep power and temperature in balance while being optimized. The results show that the mapping scheme by using MOACA can reduce the chip peak temperature as well as the chip power. Different from the mapping scheme while using PBB which is a single-objective power optimization mapping algorithm, the mapping scheme for Multi-Window Display (MWD) based on MOACA can make the peak temperature of chip reduce4℃, while the chip power remains stable.
     4. Routing algorithm has a great effect on network performance and communications efficiency, so design and implementation of routing algorithm is one of the most critical issues during the NoC design. For the communications characteristics of the3D mesh NoC mapping scheme and the mapped IP core, this dissertation proposes an application-specific routing path allocation method based on channel dependency graph. This method can maximize the adaptivity of routing path under bandwidth constraints. What's more, the proposed method can guarantee deadlock freedom with no need of any virtual channel. According to the analysis and simulation, the proposed method provides better network performance when compared with other common deadlock-free algorithms.
     5. The main objective of NoC fault-tolerant technique is to guarantee sustained communications when fault happens. Fault-tolerant routing algorithm is an efficient way to achieve fault tolerance in NoC. In this paper, to solve the problem of different faulty routers in2D mesh NoC, the author proposes a new routing algorithm MFRT with the ability of tolerating different faults. MFRT can tolerate different faulty routers distributions in NoC by reconfiguring routing paths dynamically. From the results of analysis and experiment results, it is clearly shown that MFRT can enhance the reliability of communications and offer considerable fault-tolerant capability. Compared with XY routing algorithm and single fault-tolerant routing algorithm, if the probability of faulty router is10%, MFRT can improve the reliability of on-chip communications by48.3%and9.56%.
     6. For hardware resource overhead of on-chip buffer is large and the problem of low efficiency, a new on-chip router named PSBR is proposed. The proposed router maximizes the buffer utilization by allowing sharing them. To simplify the control circuits, a partially shared buffer strategy is applied to PSBR design by an analysis of on-chip communications load distribution, and PSBR is achieved based on Verilog HDL. The synthesis and simulation results show that PSBR can save15%of chip area and28.2%of router energy consumption, when compared to the typical on-chip routers under the same communications performance metrics.
     The research results in this dissertation provide a series of feasible solutions for NoC design and optimization, and they offer theoretical basis and practical experience for further improvements in reliability, scalability and practical performance of NoC.
引文
[1]G. E. Moore. Cramming more components into integrated circuits, Electronics[J]. vol.38, no.8, pp.114-117, Apr.1965.
    [2]Semiconductor industry association[EB/OL]. The International Technology Roadmap for Semiconductors (ITRS) 2011 Edition, http://www.itrs.net/ Links/2011ITRS/Home2011.htm.
    [3]L. Hammond, B. A. Nayfeh and K. Olukotun. A single-chip multiprocessor[J]. IEEE Computer, vol.30, no.9, pp.79-85, Sep.1997.
    [4]D. Burger and J. R. Goodman. Billion-transistor architectures[J]. IEEE Computer, vol.30, no.9, pp.46-48, Sep.1997.
    [5]V. Krishnan and J. Torrellas. A chip-multiprocessor architecture with speculative multithreading[J]. IEEE Transactions on Computers, vol.48, no.9, pp.866-880, Sep.1999.
    [6]J. Kahle. The cell processor architecture[C]. Proceedings of the 38nd Annual IEEE/ACM International Symposium on Microarchitecture, pp.3, Nov.2005.
    [7]M. B. Tayloretal and J. Kim, et al. The raw micro proeessor:a computational fabric for software circuits and general-purpose programs [J]. IEEE Micro, vol. 22, no.2, pp.25-35, Mar.2002.
    [8]J. Bautista. Tera-scale computing-the role of interconnects in volume compute platforms[C]. IEEE International Interconnect Technology Conference, pp. 187-189, Jun.2007.
    [9]Tile64TM overview[EB/OL]. Tilera corporation 2007, Available from: http://www.tilera.com/products/processors.php
    [10]X. Chen, J. Hu and N. Xu. Regularity-constrained floorplanning for multi-core processors[C]. Proceedings of the 2011 International Symposium on Physical Design, pp.99-106, Mar.2011.
    [11]The connect bus architecture[EB/OL]. http://www.chips.ibm.com.
    [12]The AMBA specification[EB/OL]. http://wwvv.arm.com/products/solutions/ AMBA HomePage.html.
    [13]Wishbone SoC architecture specification[EB/OL]. http://www.opencores.org.
    [14]Silicon backplane III[EB/OL]. http://www.sonicsinc.com/sonics/products.
    [15]Silicon mx[EB/OL]. http://www.sonicsinc.com/sonics/products.
    [16]The stbus architecture specification[EB/OL]. http://www.st.com/stonlinc/ products/technologies/soc/stbus.htm.
    [17]OCP-IP, ocp specification release 2.1[EB/OL]. http://www.ocpip.org
    [18]Avalon bus specification reference manual version 2.3[Z]. Altera Corporation, Jul.2003.
    [19]R. Kumar, V. Zyuban and D. Tullsen. Interconnections in multi-core architectures:understanding mechanisms, overhead and scaling[C]. The 32nd International Symposium on Computer Architecture, Madison, Wisconsin, USA, pp.408-419, Jun.2005.
    [20]D. Wingard. Micronetwork-based integration for SoCs[C]. Proceedings of the 38th Conference on Design Automation, pp.673-677, Jun.2001.
    [21]S. Furber. Future trends in SoC interconnect[C]. Proceedings of the International Symposium on VLSI Design, Automation and Test, pp.295-298, Nov.2005.
    [22]H. Zhang, V. George and J. M. Rabaey. Low-swing on-chip signaling techniques:effectiveness and robustness[J]. IEEE Transaction on VLSI Systems, vol.8, no.3, pp.264-272, Jun.2000.
    [23]D. Bertozzi, L. Benini and G. D. Micheli. Energy-reliability trade-off for NoCs, in Networks on Chip[M]. Boston, USA:Kluwer, pp.107-129,2003.
    [24]C. Debaes, A. Bhatnagar, D. Agarwal et al. Receiver-less optical clock injeetion for clock distribution networks[J]. IEEE Journal of Selected Topics in Quantum Electronics, vol.9, no.2, pp.400-409, Feb.2003.
    [25]M. T. Hemani, A. Kumar and S. Ellervee. Globally asynchronous locally synchronous architecture for large high performance asics[C]. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Orlando, USA, pp.512-515, Jul.1999.
    [26]J. Muttersbach, T. Villiger and V. Fichtner. Practical design of globally-asynchronous locally-synchronous systems[C]. Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.52-59, Apr.2000.
    [27]R. Ho, K. Mai and M. Horowitz. The future of wires[J]. Proceedings of the IEEE, vol.89, no.4, pp.490-504, Apr.2001.
    [28]L. Benini and G. De. Micheli. Powering networks on chips:energy-efficient and reliable interconnect design for Socs[C]. Proceedings of the 14th International symposium on System Synthesis, pp.33-38, Oct.2001.
    [29]K. Shashi, J. Axel, S. Juha-Pekka, et al. A network on chip architecture and design methodology[C]. IEEE Computer Society Annual Symposium on VLSI, pp.117-124, Apr.2002.
    [30]A. Jantsch and H. Tenhunen. Networks-on-Chip[M]. Boston, USA:Kluwer, 2003.
    [31]G. De Micheli and L. Benini. Networks on Chips:Technology and Tools[M]. San Mateo, CA:Morgan Kaufmann,2006.
    [32]J. Hu. Design methodologies for application specific Networks-on-Chip[D]. PHD Thesis, USA:Carnegie Mellon University, May.2005.
    [33]T. Bjerregaard and S. Mahadevan. A survey of research and practices of Network-on-chip[J]. ACM Computing Surveys, vol.38, no.1, pp.1,2006.
    [34]J. Henkel, W. Wolf and S. Chakradhar. On-chip networks:a scalable, communication-centric embedded system design paradigm [C]. Proceedings of the 17th International Conference on VLSI Design, pp.845-851, Jan.2004.
    [35]E. Salminen, A. Kulmala and T. D. Hamalainen. On Network-on-Chip comparison[C].10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, pp.503-510, Aug.2007.
    [36]M. Zid, A. Zitouni, A. Baganne and R. Tourki. New generic GALS NoC architectures with multiple QoS[C]. International Conference on Design and Test of Integrated Systems in Nanoscale Technology, pp.345-349, Sep.2006.
    [37]S. Murali, T. Theocharides, N. Vijaykrishnan, et al. Analysis of error recovery schemes for networks on chips. IEEE Design & Test of Computers[J]. vol.22, no.5, pp.434-442, Oct.2005.
    [38]M. Li, Q. A. Zeng and W. B. Jone. DyXY:a proximity congestion-aware deadlock-free dynamic routing method for network on chip[C]. Proceedings of the 43rd Aannual Conference on Design Automation, pp.849-852, Jul.2006.
    [39]W. J. Dally and B. Towles. Route packets, not wires:on-chip interconnection networks[C]. Proceedings of the 38th Conference on Design Automation, pp.684-689, Jun.2001
    [40]R. Marculescu, U. Y. Ogras, P. Li-Shiuan, N. E. Jerger, et al. Outstanding research problems in NoC design:system, microarchitecture, and circuit perspectives[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, no.1, pp.3-21, Jan.2009.
    [41]B. Shim and N. R. Shanbhag. Energy-efficient soft error-tolerant digital signal processing[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.14, no.4, pp.336-348, Apr.2006.
    [42]U. Y. Ogras, H. Jingcao and R. Marculescu. Key research problems in NoC design:a holistic perspective[C]. Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pp.69-74, Sep.2005.
    [43]M. Haurylau, C. Guoqing, C. Hui, et al. On-chip optical interconnect roadmap: challenges and critical directions[J]. IEEE Journal of Selected Topics in Quantum Electronics, vol.12, no.6, pp.1699-1705, Dec.2006.
    [44]A. Shacham and K. Bergman. Building ultralow-latency interconnection networks using photonic integration[J]. IEEE Micro, vol.27, no.4, pp.6-20, Aug.2007.
    [45]S. B. Lee, S. W. Tam, I. Pefkianakis, et al. A scalable micro wireless interconnect structure for CMPs[C]. Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, pp.217-228, Sep.2007.
    [46]C. Wang, W. H. Hu and N. Bagherzadeh. A wireless Network-on-Chip design for multicore platforms[C].19th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), pp.409-416, Feb.2011.
    [47]E. Bolotin, A. Morgenshtein, I. Cidon, et al. Automatic hardware-efficient SoC integration by QoS network on chip[C]. Proceedings of the 2004 11th IEEE International Conference on Electronics, Circuits and Systems, pp.479-482, Dec.2004.
    [48]E. Bolotin, I. Cidon, R. Ginosar, et al. QNoC:QoS architecture and design process for network on chip[J]. Journal of Systems Architecture, vol.50, no.2-3, pp.105-128, Feb.2004.
    [49]M. B. Stensgaard and J. Spars. ReNoC:A network-on-chip architecture with reconfigurable topology[C]. Proceedings of the Second International Symposium on Networks-on-Chips, pp.55-64, Apr.2008.
    [50]D. Cozzi, C. Fare, A. Meroni, et al. Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices[C]. Proceedings of the 19th ACM Great Lakes Symposium on VLSI, pp.421-424, May.2009.
    [51]J. Liang, S. Swaminathan and R. Tessier. aSOC:a scalable, single-chip communications architecture[C]. Proceedings of the IEEE International Conference on Parallel Architecturesand CompilationTechniques, pp.37-46, Oct.2000.
    [52]J. Bainbridge and S. Furber. CHAIN:a delay in sensitive chip area interconnect[J]. IEEE Micro, vol.22, no.5, pp.16-23, Sep.2002.
    [53]I. Saastamoinen, M. Alho, and J. Nurmi. Buffer implementation for proteo network-on-chip[C]. International IEEE Proceeding on Circuits and Systems, vol.2, pp.113-116, May.2003.
    [54]A. Adriahantenaina, H. Charlery, A. Greiner, et al. SPIN:a scalable, packet switched, on-chip micro-network[C]. Proceedings of Conference on Design, Automation and Test, pp.70-73, Mar.2003.
    [55]D. Wiklund and L. Dake. SoCBUS:Switched Network on Chip for hard real time embedded systems[C]. Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS), pp.78-85, Apr.2003.
    [56]D. Bertozzi and L. Benini. Xpipes:A network-on-chip architecture for gigascale systems-on-chip[J]. IEEE Circuits and Systems Magazine, vol.4, no.2, pp. 18-31, Apr.2004.
    [57]M. Coppola, R. Locatelli, G. Maruccia, et al. Spidergon:A novel on-chip communication network[C]. In the Proceedings of International Symposium on System on Chip, pp.16-18, Nov.2004.
    [58]K. Goossens, J. Dielissen and A. Radulescu.(?)thereal network on chip: Concepts, architectures, and implementations[J]. IEEE Design & Test of Computers, vol.22, no.5, pp.414-421, Oct.2005.
    [59]T. Bjerregaard and J. Sparso. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip[C]. In Proceedings of the Design, Automation and Test in Europe Conference, pp. 1226-1231, Mar.2005.
    [60]M. B. Stensgaard and J. Sparso. Renoc:a network-on-chip architecture with reconfigurable topology[C]. Second ACM/IEEE International Symposium on Networks-on-Chip, pp.55-64, Apr.2008.
    [61]iNoCs[EB/OL]. http://www.inocs.com/.
    [62]Arteris[EB/OL]. http://www.arteris.com/.
    [63]Silistix[EB/OL]. http://www.silistix.com/.
    [64]Y. Hoskote, S. Vangal, A. Singh, et al. A 5-GHz mesh interconnect for a Teraflops processor[J]. IEEE Micro, vol.27, no.5, pp.51-61, Oct.2007.
    [65]J. Howard, S. Dighe, Y. Hoskote, et al. A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS[C]. In Proceedings of the International Solid-State Circuits Conference (ISSCC), pp.108-109, Feb.2010.
    [66]Tilera Announces the World's First 100-core Processor with the New TILE-Gx Family[EB/OL]. Oct.2009. http://www.tilera.com/.
    [67]高明伦,杜高明.NoC:下一代集成电路主流技术[J].微电子学,vol.36,no.4,pp.461-466,Sep.2006.
    [68]周干民.NoC基础研究[D].合肥:合肥工业大学,2005.
    [69]欧阳一鸣,张俊威等.基于关键IP核加固的片上网络容错机制[J].电子测量与仪器学报,vol.25, no.10, pp.879-886, Oct.2011.
    [70]欧阳一鸣,杨懿泽,梁华国,黄正峰.三维片上网络TSV复用容错策略[J].电子测量与仪器学报,vol.27, no.3, pp.229-235, Mar.2013.
    [71]武畅.片上网络体系结构和关键通信技术研究[D].成都:电子科技大学,2008.
    [72]姜书艳,罗刚,吕小龙等.90nm和65nm工艺下片上网络互连串扰故障模型分析[J].电子测量与仪器学报,vol.26, no.3, pp.267-272, Jun.2012.
    [73]马立伟.专用片上网络设计方法:通信建模、拓扑结构与自动生成[D].北京:清华大学,2006.
    [74]孙光,林世俊,张媛媛等.基于三维Mesh片上网络的双链路互连架构[J].清华大学学报:自然科学版,vol.52, no.5, pp.632-635, May.2012.
    [75]张媛媛,林世俊,苏厉等.三维片上网络中torus与mesh拓扑结构的性能评估[J].清华大学学报:自然科学版,vol.51, no.12, pp.1777-1781, Dec.2012.
    [76]张剑贤.高性能片上网络关键技术研究[D].西安:西安电子科技大学,2012.
    [77]管旭光,杨银堂,朱樟明.用于片上网络的准延时不敏感全异步仲裁器[J].西安电子科技大学学报,vol.38, no.3, pp.83-89, Aug.2011.
    [78]杨延飞,朱樟明,周端等.用于片上网络的延时无关异步动态优先级仲裁器[J].西安电子科技大学学报,vol.39, no.1, pp.42-48, May.2012.
    [79]窦文华.片上网络演算模型及性能分析[D].长沙:国防科学技术大学,2010.
    [80]周文彪,张岩,毛志刚.片上网络的低功耗自适应数据保护[J].计算机工程,vol.32, no.22, pp.28-30, Oct.2006.
    [81]朱晓静.片上网络的结构设计与性能分析[D].合肥:中国科学技术大学,2008.
    [82]李磊.片上网络NoC的通信研究[D].杭州:浙江大学,2007.
    [83]全励,潘赞,丁勇等.基于双维序路由策略的低能耗NoC网络分配[J].计算机工程,vol.38, no.13, pp.13-16, Jul.2012.
    [84]葛芬.专用片上网络设计关键技术研究[D].南京:南京航空航天大学,2010.
    [85]陆俊林,王宏伟,佟冬等.支持服务质量的片上网络路由器设计[J].计算机辅助设计与图形学报,Vol.20,no.11,pp.2403-1410,Nov.2008.
    [1]A. A. Morgan, H. Elmiligi, M. W. El-Kharashi, et al. Multi-objective optimization for etworks-on-Chip architectures using genetic algorithms[C]. IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3725-3728, May.2010.
    [2]W. Lei and L. Xiang. Energy-and latency-aware NoC mapping based on chaos discrete particle swarm optimization[C]. International Conference on Communications and Mobile Computing (CMC), pp.263-268, Apr.2010.
    [3]H. Jing-cao and R. Marculescu. Energy-and performance-aware mapping for regular NoC architectures[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, no.4, pp.551-562, Apr.2005.
    [4]董文箫,沈海斌,全励等.基于遗传算法的片上网络低能耗映射[J].浙江大学学报(理学版),vol.37, no.6, pp.654-656, Dec.2010.
    [5]邓植,顾华玺,杨银堂等.基于拓扑划分的片上网络快速映射算法[J].电子与信息学报,vol.33, no.12, pp.3028-3034, Dec.2011.
    [6]王炜,乔林,汤志忠.片上网络互连拓扑综述[J].计算机科学,vol.38,no.10,pp.1-5,Feb.2011.
    [7]N. Enright Jerger, L. Peh. On-Chip Networks[M]. San Francisco, USA:Morgan and Claypool Publishers,2009.
    [8]C. Neeb and N. When. Designing efficient irregular networks for heterogeneous Systems-on-Chip[J]. Journal of Systems Architecture, vol.54, no.3-4, pp.384-396, Mar.2008.
    [9]K. Goossens. Formal methods for networks on chips[C]. Fifth International Conference on Application of Concurrency to System Design, pp.188-189, Jun. 2005.
    [10]R. Das, S. Eachempati, A. K. Mishra, et al. Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs[C]. Proceedings of the 15th International Conference on High-performance Computer Architecture (HPCA 2009), pp.175-186, Feb.2009.
    [11]S. Bourduas and Z. Zilic. A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing[C]. Proceedings of the First International Symposium on Networks-on-Chips(NoCS 2007), pp.195-204, May.2007.
    [12]A. O. Balkan, Q. Gang and U. Vishkin. A Mesh-of-Trees interconnection network for single-chip parallel processing[C]. Proceedings of the 2006 IEEE International Conference on Application-speeific Systems Architecture and Processors(ASAP 2006), pp.73-8, Sep.2006.
    [13]M. Millberg, E. Nilsson, R. Thid, et al. The Nostrum backbone-a communication protocol stack for networks on chip[C]. In Proceedings of the VLSI Design Conference, pp.693-696, Jan.2004.
    [14]R. Cypher. Minimal, deadlock-free routing in hypercubic and arbitrary networks[C]. Proceedings of 7th IEEE Symposium on Parallel and Distributed Processing, pp.122-129, Oct.1995.
    [15]岳培培,陈杰,刘建等.应用于片上网络的双通道路由器,电子科技大学学报[J]vol.38, no.2, pp.309-316, May.2009.
    [16]H. Kariniemi and J. Nurmi. Interconnect-centric design for advanced SoC and NoC[M]. USA:Kluwer Academic Publishers, pp.253-282,2004.
    [17]C. Ge-Ming. The odd-even turn model for adaptive routing[J]. IEEE Transactions on Parallel and Distributed Systems, vol.11, no.7, pp.729-738, Jul.2000.
    [18]C. J. Glass, L. M. Ni. The turn model for adaptive routing[J]. Journal of the ACM (JACM), vol.41, no.5, pp.874-902, Sep.1994.
    [19]M. Dehyadgari, M. Nickary, A. Afzali-Kusha, et al. Evaluation of pseudo adaptive XY routing using an object oriented model for NoC[C]. The 17th International Conference on Microelectronics, Dec.2005.
    [20]M. Li, Q. A. Zeng and W. B. Jone. DyXY:a proximity congestion-aware deadlock-free dynamic routing method for network on chip[C]. Proceedings of the 43rd Annual Conference on Design Automation, pp.849-852, Jul.2006.
    [21]W. J. Dally and C. L. Seitz. Deadlock-free message routing in multiprocessor interconnection networks[J]. IEEE Transactions on Computers, vol.36, no.5, pp.547-553, May.1987.
    [22]A. Singh, W. J. Dally, A. K. Gupta, et al. Goal:a load-balanced adaptive routing algorithm for torus networks[C]. In Proceedings of the 30th Annual International Symposium on Computer Architecture, pp.194-205, Jun.2003.
    [23]P. Gratz, B. Grot, S. Keckler. Regional congestion awareness for load balance in networks-on-chip[C], IEEE 14th International Symposium on High Performance Computer Architecture, pp.203-214, Feb.2008.
    [24]S. Kundu. Mesh-of-tree deterministic routing for network-on-chip architecture[C]. Proceedings of the 18th ACM Great Lakes symposium on VLSI, pp.343-346, May.2008.
    [25]J. Duato, S. Yalamanchili and L. M. Ni. Interconnection networks:an engineering approach[M]. San Francisco, USA:Morgan Kaufmann Publishers, 2003.
    [26]W. J. Dally and B.Towles. Principles and practices of interconnection networks[M]. San Francisco, USA:Morgan Kaufmann Publishers,2004
    [27]W. J. Dally. Virtual-Channel flow control[J]. IEEE Transactions on Parallel and Distributed Systems, vol.3, no.2, pp.194-204, Mar.1992.
    [28]Noxim:the NoC Simulator[EB/OL]. http://www.noxim.org/
    [1]J. Hu and R. Marculescu. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.234-239, Feb.2004.
    [2]M. R. Garey and D. S. Johnson. Computers and intractability:a guide to the theory of NP-completeness[M]. New York, USA:WH Freeman and Company, 1979.
    [3]T. Lei, S. Kumar. A two-step genetic algorithm for mapping task graphs to a network on chip architecture[C]. Proceedings of the Euromicro Symposium on Digital Systems Design, pp.180-187, Sep.2003.
    [4]G. Ascia, V. Catania and M. Palesi. An evolutionary approach to network-on-chip mapping problem[C]. Proceedings of the 2005 IEEE Congress on Evolutionary Computation, pp.112-119, Sep.2005.
    [5]杨盛光,李丽,高明伦等.面向能耗和延时的NoC映射方法[J].电子学报,vol.36, no.5, pp.937-941, May.2008.
    [6]S. Murali, G. De. Micheli. Bandwidth-constrained mapping of cores onto NoC architectures[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.896-901, Feb.2004.
    [7]Z. Chang, G. Xiong and N. San. Energy-aware Mapping for Tree-based NoC Architectures by Recursive Bipartitioning[C]. Proceedings of the 2008 International Conference on Embedded Software and Systems, pp.105-109, Jul. 2008.
    [8]P. K. Sahu, N. Shah, K. Manna, S. Chattopadhyay. A new application mapping strategy for Mesh-of-Tree based Network-on-Chip[C].2011 International Conference on Emerging Trends in Electrical and Computer Technology (ICETECT), pp.518-523, Mar.2011.
    [9]P. K. Sahu, N. Shah, K. Manna, S. Chattopadhyay. An application mapping technique for butterfly-fat-tree Network-on-Chip[C].2011 Second International Conference on Emerging Applications of Information Technology (EAIT), pp. 383-386, Feb.2011.
    [10]刘炎华,刘静,赖宗声等.基于遗传蚁群算法的片上网络映射研究[J].计算机工程,vol.36, no.22, pp.262-264, Nov.2010.
    [11]T. T. Ye, L. Benini and G. De Micheli. Analysis of power consumption on switch fabrics in network routers[C]. Proceedings of the 39th Conference on Design Automation, pp.524-529, Jun.2002.
    [12]J. Hu and R. Marculescu. Energy-aware mapping for tile-based NoC architectures under performance constraints[C]. Proceedings of the 2003 Conference on Asia South Pacific Design Automation, pp.233-239, Jan.2003.
    [13]常政威,桑楠,熊光泽.树拓扑片上网络的低能耗映射[J].电子科技大学学 报,vol.39, no.4, pp.607-611, Jul.2010.
    [14]V. Maniezzo and A. Colorni. The ant system applied to the quadratic assignment problem[J]. IEEE Transactions on Knowledge and Data Engineering, vol.11, no.5, pp.769-778, Oct.1999.
    [15]L. Davis. Applying adaptive algorithms to epistatic domains[C]. Proceedings of the International Joint Conference on Artificial Intelligence, pp.161-163, Aug. 1985.
    [16]W. Wolf. TGFF:task graphs for free[C]. Proceedings of the 6th International Workshop on Hardware/Software Codesign, pp.97-101, Mar.1998.
    [17]周干民,尹勇生,胡永华等.基于蚁群优化算法的NOC映射[J].计算机工程与应用,vol.41, no.18, pp.7-10, Sep.2005.
    [18]M. Janidarmian, A. Khademzadeh and M. Tavanpour. Onyx:a new heuristic bandwidth-constrained mapping of cores onto tile-based Network on Chip[J]. IEICE Electron. Express, vol.6 no.1, pp.1-7, Jan.2009.
    [19]A. B. Kahng, L. Bin, P. Li-Shiuan, et al. Orion 2.0:A fast and accurate noc power and area model for early-stage design space exploration[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.423-428, Apr. 2009.
    [20]K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat.3-D ICs:A novel chip design for deep-submicrometer interconnect performance and systems-on-chip integration[J]. Proceedings of the IEEE, vol.89, no.5, pp.602-633, May.2001.
    [21]J. Cong, J. Wei, and Y. Zhang. A thermal-driven foorplan-ning algorithm for 3D ICs[C]. Proceedings of the IEEE/ACM International Conference on Computer Aided Design, pp.306-313, Nov.2004.
    [22]W.-L. Hung, G. M. Link, Y. Xie et al. Interconnect and thermal-aware foorplanning for 3D microprocessors[C]. Proceedings of the 7th International Symposium on Quality Electronic Design, pp.98-104, Mar.2006.
    [23]A. W. Topol et al. Three-Dimensional Integrated Circuits[J]. IBM Journal of Research and Development, vol.50, no.4/5, pp.491, Jul.2006.
    [24]C. A. Nicopoulos. Network-on-Chip architectures:a holistic design exploration[D]. PHD Thesis, USA:The Pennsylvania State University,2007.
    [25]V. F. Pavlidis and E.G. Friedman.3-D topologies for Networks-on-Chip[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, no. 10, pp.1081-1090, Oct.2007.
    [26]B. Feero and P. P. Pand. Performance evaluation for three-dimensional Networks-On-Chip[C]. IEEE Computer Society Annual Symposium on VLSI, pp.305-310, Mar.2007.
    [27]C. H. Chao, K. Y. Jheng, H. Y. Wang, J. Wu, and A. Wu. Traffic-and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems[C].2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS), pp.223-230, May.2010.
    [28]W. Lafi, D. Lattard and A. Jerraya. An efficient hierarchical router for large 3D NoCs[C].21st IEEE international Symposium on Rapid System Prototyping, pp.1-5, Jun.2010.
    [29]K. Siozios, I. Anagnostopoulos and D. Soudris. A high-level mapping algorithm targeting 3D NoC architectures with multiple Vdd[C].2010 IEEE Computer Society Annual Symposium on VLSI, pp.444-445, Jul.2010.
    [30]G. De Micheli and L. Benini. Networks on Chips:technology and tools[M]. San Mateo, USA:Morgan Kaufmann, Jul.2006.
    [31]Y. H. Liu, Y. Ruan, Z. S. Lai. New heuristic algorithms for low-energy mapping and routing in 3D NoC[J]. International Journal of Computer Applications in Technology, vol.47, no.1, pp.1-13, May.2013.
    [32]G. D. Pifarre, L. Gravano, S. A. Felperin and J. L. C. Sanz. Fully adaptive minimal deadlock-free packet routing in hypercubes, meshes, and other networks:algorithms and simulations[J]. IEEE Transactions on Parallel and Distributed Systems, vol.5, no.3, pp.247-263, Mar.1994.
    [33]J. Duato. A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks[J]. IEEE Transactions on Parallel and Distributed Systems, vol.6, no.10, pp.1055-1067, Oct.1995.
    [34]A. Ben Ahmed, A. Ben Abdallah and K. Kuroda. Architecture and design effcient 3D Network-on-Chip for custom multicore SoC[C]. Proceedings of the 2010 International Conference on Broadband, Wireless Computing, Communication and Applications, pp.67-73, Nov.2010.
    [35]C. Seiculescu, S. Murali and L. Benini. SunFloor 3D:a tool for networks on chip topology synthesis for 3-D systems on chips[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, no.16, pp. 1987-2000, Dec.2010.
    [36]G. Fen, W.Ning. Genetic algorithm based mapping and routing approach for Network on Chip architectures[J]. Chinese Journal of Electronics, vol.19, no.1, pp.91-96, Jan.2010。
    [1]S. Borkar. Design challenges of technology scaling[J]. IEEE Micro, vol.19, no. 4, pp.23-29, Aug.1999.
    [2]Y. S. Dhillon. Hierarchical optimization of digital cmos circuits for power, performance and reliability[D]. PhD Thesis, USA:Georgia Institute of Technology, May.2005.
    [3]C. H. Tsai and S. M. Kang. Cell-level placement for improving substrate thermal distribution[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, no.2, pp.253-266, Feb.2000.
    [4]K. Skadron, M.R. Stan, W. Huang, et al. Temperature-aware microarchitecture[C]. Proceedings of the 30th annual international symposium on Computer architecture, pp.2-13, Jun.2003.
    [5]W-L. Hung, C. Addo-Quaye, T. Theocharides, et al. Thermal-aware IP virtualization and placement for networks-on-chip architecture[C]. IEEE International Conference on Computer Design:VLSI in Computers and Processors, pp.430-437, Oct.2004.
    [6]W-L. Hung, Y. Xie, N. Vijaykrishnan, et al. Thermal-aware floorplanning using genetic algorithms[C]. Sixth International Symposium on Quality Electronic Design (ISQED), pp.634-639, Mar.2005.
    [7]W. B. Zhou, Y. Zhang and Z. G. Mao. Pareto based multi-objective mapping IP cores onto NoC architectures[C]. IEEE Asia Pacific Conference on Circuits and Systems, Singapore, pp.331-334, Dec.2006.
    [8]B. X. Zhang, H. Gu, Y. T. Yang, et al. Thermal and competition aware mapping for 3D network-on-chip[J]. IEICE Electronics Express, vol.9, no.19, pp. 1510-1515, Oct.2012.
    [9]C. Addo-Quaye. Thermal-aware mapping and placement for 3D NoC designs[C]. Proceedings of International SoC Conference, pp.25-28, Sep.2005.
    [10]G. Ascia, V. Catania, and M. Palesi. Multi-objective mapping for mesh-based NoC architectures[C]. Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pp.182-18, Sep.2004.
    [11]R. Tornero, V. Sterrantino and M. Palesi, et al. A multi-objective strategy for concurrent mapping and routing in networks on chip[C]. IEEE International Symposium on Parallel and Distributed Processing, pp.1-8, May.2009.
    [12]A. A. Morgan, H. Elmiligi and M. W. El-Kharashi, et al. Multi-objective optimization for Networks-on-Chip architectures using genetic algorithms[C]. International Symposium on Circuits and Systems, pp.3725-3728, Jun.2010.
    [13]W. Huang, S. Ghosh and S. Velusamy. HotSpot:a compact thermal modeling methodology for early-stage VLSI design[J]. IEEE Transactions on Very Large Scale Integration Systems, vol.14, no.5, pp.501-513, May.2006.
    [14]A. B. Kahng, L. Bin, P. Li-Shiuan, et al. Orion 2.0:a fast and accurate noc power and area model for early-stage design space exploration[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.423-428, Apr. 2009.
    [15]C. H. Tsai and S. M. Kang. Cell-level placement for improving substrate thermal distribution[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, no.2, pp.253-266, Feb.2000.
    [16]董文箫.片上网络低功耗设计研究[D].杭州:浙江大学,2010.
    [17]T. Stutzle and H. Hoos. MAX-MIN ant system[J]. Journal of Future Generation Computer Systems, vol.16, no.8, pp.889-914, Jun.2000.
    [18]G. M. Link and N. Vijaykrishnan. Thermal trends in emerging technologies[C]. Proceedings of the 7th International Symposium on Quality Electronic Design, pp.625-632, Mar.2006.
    [19]K. Skadron, M Stan, M. Barcella and A. Dwarka. Hotspot:techniques for modeling thermal effects at the processor architecture level[C]. In International Workshop on Thermal Investigations of ICs and Systems, pp.169-172, Oct. 2002.
    [20]J. Hu and R. Marculescu. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.234-239, Feb.2004.
    [21]E.G.T. Jaspers et al. Chip-set for video display of multimedia information[J]. IEEE Trans. Consumer Electronics, vol.45, no.3, pp.707-716, Aug.1999.
    [1]L. Zhang, Y. H. Han, Q. Xu, et, al. Defect tolerance in homogeneous manycore processors using core-level redundancy with unified topology[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.891-896, Mar. 2008.
    [2]T. Karnik and P. Hazucha. Characterization of soft errors caused by single event upsets in CMOS processes[J]. IEEE Transactions on Dependable and Secure Computing, vol.1, no.2, pp.128-143, Jun.2004.
    [3]C. Constantinescu. Trends and challenges in VLSI circuit reliability[J]. IEEE Micro, vol.23, no.4, pp.14-19, Jul.2003.
    [4]C. Grecu, L. Anghel, P. P. Pande, et al. Essential fault-tolerance metrics for NoC infrastructures[C].13th IEEE International On-line Testing Symposium, pp.37-42, Jul.2007.
    [5]Y. B. Kim and Y. Kim. Fault tolerant source routing for Network-on-Chip[C]. 22nd IEEE International Symposium on Defect and Fault-tolerance in VLSI Systems, pp.12-20, Sep.2007.
    [6]C. Grecu, A. Ivanov, R. Saleh, et al. On-line fault detection and location for NoC interconnects [C]. Proceedings of the 12th IEEE International Symposium on On-Line Testing, pp.10-12, Jul.2006.
    [7]C. Concatto, P. Almeida, F. Kastensmidt, et al. Improving yield of torus NoCs through fault-diagnosis-and-repair of interconnect faults[C]. In Proceedings of IEEE International On-line Testing Symposium, pp.61-66, Jun.2009.
    [8]M. H. Neishaburi and Z. Zilic. Reliability aware NoC router architecture using input channel buffer sharing[C]. Proceedings of the 19th ACM Great Lakes symposium on VLSI, pp.511-516, May.2009.
    [9]Z. Zhang, A. Greiner, and S. Taktak. A reconfigurable routing algorithm for a fault-tolerant 2d-mesh network-on-chip[C].45th ACM/IEEE in Design Automation Conference, pp.441-446, Jun.2008.
    [10]M. Valinataj, S. Mohammadi, J. Plosila, et al. A reconfigurable and adaptive routing method for fault-tolerant mesh-based networks-on-chip[J]. International Journal of Electronics and Communications, vol.65, no.7, pp.404-413, Jul. 2011.
    [11]S. Jovanovic, C. Tanougast, S. Weber and C. Bobda. A new deadlock-free fault-tolerant routing algorithm for NoC interconnections [C]. International Conference on Field Programmable Logic and Applications, pp.326-331, Sep. 2009.
    [12]Y. C. Chen, L. G. Xie, J. W. Li, et al. A deadlock-free fault-tolerant routing algorithm based on pseudo-receiving mechanism for Networks-on-Chip of CMP[C].2011 International Conference on Multimedia Technology, pp. 2825-2828, Jul.2011.
    [13]K. S. Ding, C. T. Ho and J. J. Tsay. Matrix transpose on meshes with wormhole and XY routing[C].6th IEEE Symposium on Parallel and Distributed Processing, pp.656-663, Oct.1994.
    [14]C. J. Glass and L. M. Ni. The turn model for adaptive routing[C]. Proceedings of the 19th Annual International Symposium on Computer Architecture, pp. 278-287, May.1992.
    [15]F. Fazzino, M. Palesi, and D. Patti. Noxim:Network-on-Chip Simulator[EB/OL]. http://noxim.sourceforge.net,2008.
    [16]M. Valinataj, P. Liljeberg and J. Plosila. A fault-tolerant and hierarchical routing algorithm for noc architectures[C]. NORCHIP 2011, pp.1-6, Nov. 2011.
    [1]H. Wang, L. Peh, and S. Malik. Power-driven design of router microarchitectures in on-chip networks[C]. Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, pp.105-116, Dec. 2003.
    [2]X. Chen and L. Peh. Leakage power modeling and optimization in interconnection networks[C]. Proceedings of the 2003 International Symposium on Low Power Electronics and Design, pp.90-95, Aug.2003.
    [3]T. Moscibroda and O. Mutlu. A case for bufferless routing in on-chip networks[C]. Proceedings of the 36th Annual International Symposium on Computer Architecture, pp.196-207, Jun.2009.
    [4]M. Hayenga, N. E. Jerger and M. Lipasti. SCARAB:a single cycle adaptive routing and bufferless network[C]. Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, pp.244-254, Dec.2009.
    [5]M. Coenen, S. Murali, A. Ruadulescu, K. Goossens, G. De. Micheli. A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control [C]. Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, pp.22-25, Oct.2006.
    [6]J. Hu and R. Marculescu. Application-specific buffer space allocation for networks-on-chip router design[C]. Proceedings of the 2004 IEEE/ACM International Conference on Computer-aided Design, pp.354-361, Nov.2004.
    [7]L. W. Wang, Y. Cao, X. H. Li, and X. H. Zhu. Application specific buffer allocation for wormhole routing Networks-on-Chip[C]. First International Workshop on Network on Chip Architectures, pp.37-42, Nov.2008.
    [8]M. A. Al Faruque and J. Henkel. Minimizing virtual channel buffer for routers in on-chip communication architectures[C]. Proceedings of the Conference on Design, Automation and Test in Europe, pp.1238-1243, Mar.2008.
    [9]P.-T. Huang and W. Hwang.2-level FIFO architecture design for switch fabrics in network-on-chip[C]. IEEE in Proceedings of the International symposium on Circuits and Systems, pp.4863-4866, May.2006.
    [10]R. Ramanujam, V. Soteriou, B. Lin, and L. Peh. Design of a high-throughput distributed shared-buffer NoC router[C]. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip, pp.69-78, May.2010.
    [11]A. T. Tran and B. M. Baas. DLABS:a dual-lane buffer-sharing router architecture for networks on chip[C]. IEEE Workshop on Signal Processing Systems (SiPS), pp.327-332, Oct.2010.
    [12]D. Matos, C. Concatto, M. Kreutz, F. Kastensmidt, L. Carro, and A. Susin. Reconfigurable routers for low power and high performance[J]. IEEE Trans. Very Large Scale integrate System, vol.19, no.11, pp.2045-2057, Nov.2011.
    [13]A. Ahmadinia, A. Shahrabi. A highly adaptive and efficient router architecture for Network-on-Chip[J]. The Computer Journal.vol.54, no.8, pp.1295-1307, Jan.2011.
    [14]W.-T. Su, J. Shen, P. Hsiung. Network-On-Chip router design with buffer stealing[C]. Proceedings of the 16th Asia and South Pacific Design Automation Conference, pp.160-164, Jan.2011.
    [15]J. Liu and J.-G. Delgado-Frias. A DAMQ shared buffer scheme for network-on-chip[C]. In Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems, pp.53-58, Jul.2007.
    [16]M. Q. Lai, Z. Y. Wang, L. Gao, H. Q. Lu and K. Dai. A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers[C]. Proceedings of the 45th Annual Design Automation Conference, pp.630-633, Jun.2008.
    [17]J. Liu and J.-G. Delgado-Frias. A shared self-compacting buffer for network-on-chip systems[C]. In Proceedings of the 49th IEEE International Midwest Symposium on Circuits and Systems, vol.2, pp.26-30, Aug.2006.
    [18]M.-H. Neishaburi and Z. Zilic. Reliability aware NoC router architecture using input channel buffer sharing[C]. In Proceedings of ACM Great Lakes Symposium on VLSI, pp.511-516, May.2009.
    [19]K. Latif, T.Seceleanu and H.Tenhunen. Power and area efficient design of network-on-chip router through utilization of idle buffers[C]. Proceedings of the 2010 17th IEEE International Conference and Workshops on the Engineering of Computer-Based Systems, pp.131-138, Mar.2010.
    [20]齐树波.面向片上网络的高性能路由器关键技术研究[D].湖南长沙:国防科学技术大学,2011.
    [21]M. Janidarmian, A. Khademzadeh and M. Tavanpour. Onyx:a new heuristic bandwidth-constrained mapping of cores onto tile-based Network on Chip[J]. IEICE Electron. Express, vol.6, no.1, pp.1-7, Jan.2009.
    [22]E. G. T. Jaspers et al. Chip-set for video display of multimedia information[J]. IEEE Trans. Consumer Electronics, vol.45, no.3, pp.707-716, Aug.1999.