三维片上网络拓扑结构与容错机制研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
片上网络是解决大规模片上系统复杂互联问题的有效方案之一,由于具有高带宽、低延时、易扩展等特点受到学术界关注。传统的二维片上网络布局布线局限于平面,阻碍了系统性能和规模的提升,而三维片上网络由于结构上的优势可以突破这些限制。拓扑结构是三维片上网络设计的关键步骤,对提高三维片上网络通信性能有着非常重要的意义。同时,随着三维片上网络设计规模的扩大和工作频率的提升,系统出现故障的概率也在相应提高,研究面向三维片上网络的容错机制是保证三维片上网络通信可靠性的重要手段。论文对三维片上网络拓扑结构和容错机制展开深入的研究,重点解决三维片上网络拓扑结构评估方法、规则型和非规则型三维拓扑结构的性能优化、针对永久性故障和瞬时性故障的容错机制等关键问题。
     论文首先研究了三维片上网络中延时和功耗指标的评估方法,建立了三维片上网络延时和功耗的评估模型,并结合改进的三维片上网络拓扑仿真平台,在分析拓扑结构特征的基础上对性能参数进行了仿真和分析,比较了目前三维片上网络中常见的拓扑结构在吞吐量、延时和功耗方面的性能。该评估方法和结果为之后拓扑结构的性能优化设计提供了参考依据,同时提供了性能评估基础。
     在规则型三维片上网络拓扑结构设计方面,提出一种称为3D-Spidergon的规则三维拓扑原型及延时优化的拓扑生成算法,通过建立拓扑结构和平均延时的关系,确定最小化延时条件下的拓扑结构。同时提出了一种针对该拓扑的自适应路由算法,以纵向路由为优先方向,通过自适应寻找源节点和目的节点的等效最短路径,避免网络拥塞,并提高了网络吞吐量。仿真结果表明,同等规模的3D-Spidergon与3D-Mesh相比,在网络近似饱和的情况下,延时时间降低17%,吞吐量提高16.7%。
     针对三维片上网络垂直方向互连距离较短的特征,提出一种混合型三维NoC拓扑结构设计方法。在垂直方向,以一种基于伪令牌协议的总线结构,通过同步令牌更新方法提高总线利用率;在水平方向,以全连接网络为设计原型,通过异构的水平子层算法降低网络直径。同时提出一种防拥塞的自适应路由算法,在总线负载较高的情况下优先通过水平子层寻找空闲总线,降低了垂直总线拥塞的可能性。实验结果表明,本文提出的混合型三维拓扑在随机流量分布情况下,平均延时比3D-Mesh低34.4%,比长连接三维拓扑低13.1%,而功耗分别比3D-Mesh和长连接三维拓扑下降43%和7%。在热点分别流量情况下,延时比3D-Mesh低36.9%,比长连接三维拓扑低13.3%,功耗则分别下降48%和5%。
     为避免三维片上网络中永久性故障对系统功能的影响,提出一种应用于3D-Mesh网络的无死锁容错路由算法DPRA。首先针对3D-Mesh拓扑缺乏故障区域模型的问题,提出一种新的故障块定义规则,减少了受故障节点影响的健康节点数目;在此基础上,设计一种故障节点探测和绕道路径生成算法,采用递归式消息传递实现故障块区域的建立和绕道路径列表的生成。在绕道容错路由算法DPRA中,采用部分路由表和路由规则相结合的方法引导报文绕过故障区域,提高了路由算法的执行效率。实验结果表明,DPRA算法在保证数据到达率的前提下,在节点故障率分别为2%,4%,6%,8%和10%的情况时平均延时分别比禁止转弯模型路由算法减小9.7%,10.3%,13.3%,13.1%和13.4%,而功耗分别降低17.8%,19.6%,15.6%,9.6%和10.2%。
     针对三维片上网络中主要的瞬时故障来源串扰问题,提出一种以防串扰编码为核心,联合低功耗编码和差错控制编码的容错联合编码方案CAJC。通过基于斐波那切数列的防串扰编码,抑制串扰对数据传输正确率的影响;通过低功耗编码降低总线上数据翻转率,减少了数据的传输功耗;同时通过校验码实现了对数据的检错。在此基础上,探讨了三种引入联合编码的容错路由器设计方案及其资源开销,提出一种使用该编解码器的容错路由单元设计方法。实验结果表明,本文提出的联合编码方案在较低的面积开销前提下,在避免瞬时错误的同时获得了系统传输功耗和延时的降低,是避免片上网络中瞬时性错误的一种有效方案。
As an effective solution to the complex SoC interconnects, Network on Chip (NoC) is receivingattention by academic circles for low delay, high bandwidth and flexibility. The conventional2D NoChas limited floorplanning choices, and consequently, it limits the performance enhancements arisingout of NoC architectures.3D NoC is capable of achieving better performance, functionality, andpackaging density compared to2D NoCs. In the many aspects of3D-NoC design, it is very importantthat selecting appropriate topology to improve the network performance. In addition, with theincreasing scale of3D-NoC design, the probability of system failure is also corresponding increase.Hence the fault tolerance scheme for3D-NoC is an important means to ensure the reliability of3D-NoC communication. This thesis focus on the3D-NoC topology and fault-tolerant scheme, andtries to solve3D-NoC evaluation methods, regular and irregular3D topology design, permanent faultsand transient fault tolerant scheme.
     The thesis firstly studied the evaluation methods of latency and power consumption in3D-NoC,and established a set of theoretical calculated model for3D-NoC latency and power consumption,then selected the most popular3D topologies for analysis. On the basis of analyzing the influence oftopology features over the performance by the theoretical analysis and software simulation, theperformance of four topologies in throughput, latency and power consumption were tested andcompared. The results provides the reference for the optimization of3D topology design, alsoestablish the basis of the evaluation for the following research.
     A regular3D topology generation method called3D-Spidergon is proposed. Aiming atestablishing relationships between the topology architecture and the latency, the3D topology latencymodel based on prototype is proposed, and then the optimization topology structure with minimumlatency is determined based on it. In accordance with the structure, we design adaptive routingalgorithm, which sets longitudinal direction priority to search the equivalent minimum path adaptivelybetween the source nodes and the destination nodes, in order to increase network throughput. Thesimulation shows that in case of approximate saturation network, compared with the same scale3Dmesh structure,3D-Spidergon enjoys17%less latency, and16.7%more network throughput.
     In order to take advantage of short inter-layer interconnects in vertical for3D-NoC, a novelhybrid3D NoC-Bus architecture is proposed. For vertical link, a Fake Token Bus architecture iselaborated, which utilizes the bandwidth efficiently by updating token synchronously. Based on thisbus architecture, a methodology of hybrid3D NoC-Bus design is introduced. The network hybridizes with the bus in vertical link and distributes long links of the full connected network into differentlayers, which achieves a network with a diameter of only3hops and limited radix. In addition, acongestion-aware routing algorithm applied to the hybrid network is proposed. Experimental resultsshow that, under uniform random traffic, our network can achieve a34.4%and13.1%reduction inlatency and a43%and7%reduction in power consumption compared to the3D-Mesh and long-linkstopology. While under hotspot traffic, our network can achieve a36.9%and13.3%reduction inlatency and a48%and5%reduction in power consumption.
     To tolerant the permanent fault occurred in the3D-NoC, A fault-tolerant and deadlock-freerouting algorithm DPRA which applied in3D-Mesh is proposed. Aiming at the absence of fault modein3D topology, a new definition of fault block is proposed to reduce the region of fault and theaffected healthy node, and then a detour-path construction algorithm is designed to implement theconstruction of fault block and the generation of detour-path list by recursive of message deliver. Thedetour-path routing algorithm combines the detour-path list and routing rules, make a detour to avoidthe fault block by adding detour-path list into the header flit. The experimental results show that thealgorithm achieves9.7%,10.3%,13.3%,13.1%,13.4%reduction in latency and17.8%,19.6%,15.6%,9.6%,10.2%reduction in power consumption respectively compared to the forbidden turnmode routing, in the node failure rate of2%,4%,6%,8%and10%.
     Aiming at the crosstalk which leads to transient faults, a joint coding scheme CAJC combinedwith crosstalk avoidance code, low power code and error control code is proposed. To guarantee thecrosstalk avoidance, a crosstalk avoidance code based on Fibonacci numeral system is applied, whichreduce the influence of crosstalk over the data transfer correct rate. The low power code reduce thereversal rate of interconnects, which reduces the power consummation. And the error control codeachieved error detection by adding parity bits. Based on the joint code, the schemes of codec applyingto fault-tolerant router are analyzed and "once encode, multiple decode" scheme is chosen as thedesign method of fault tolerant router. The experimental result shows that the proposed joint codescheme can achieve the crosstalk avoidance and decrease of delay and power by the lower areaoverhead.
引文
[1] Semiconductor Association. The International Technology Roadmap for Semicondutors(ITRS),2012.
    [2] Ye T T, Benini L, De Micheli G. Packetized on-chip interconnect communication analysis forMPSoC. Design, Automation and Test in Europe Conference and Exhibition,2003. IEEE,2003:344-349.
    [3] Wingard D. MicroNetwork-based integration for SOCs. Design Automation Conference,2001.Proceedings. IEEE,2001:673-677.
    [4] Furber S, Bainbridge J. Future trends in SoC interconnect. System-on-Chip,2005. Proceedings.2005International Symposium on. IEEE,2005:183-186.
    [5] Jerraya A, Wolf W. Multiprocessor systems-on-chips. Morgan Kaufmann,2004.
    [6] Avalon Bus Specification Reference Manual Version2.3, Altera Corporation, July2003.
    [7] Benini L, De Micheli G. Networks on chips: A new SoC paradigm. Computer,2002,35(1):70-78.
    [8] Dally W J, Towles B. Route packets, not wires: On-chip interconnection networks. DesignAutomation Conference,2001. Proceedings. IEEE,2001:684-689.
    [9] Marculescu R, Ogras U Y, Peh L S, et al. Outstanding research problems in NoC design: system,microarchitecture, and circuit perspectives. Computer-Aided Design of Integrated Circuits andSystems, IEEE Transactions on,2009,28(1):3-21.
    [10] Black B, Annavaram M, Brekelbaum N, et al. Die stacking (3D) microarchitecture.Microarchitecture,2006. MICRO-39.39th Annual IEEE/ACM International Symposium on. IEEE,2006:469-479.
    [11] Pavlidis V F, Friedman E G.3-D topologies for networks-on-chip. Very Large Scale Integration(VLSI) Systems, IEEE Transactions on,2007,15(10):1081-1090.
    [12] Fujita S, Nomura K, Abe K, et al.3d on-chip networking technology based on post-silicondevices for future networks-on-chip. Nano-Networks and Workshops,2006. NanoNet'06.1stInternational Conference on. IEEE,2006:1-5.
    [13] Carloni L P, Pande P, Xie Y. Networks-on-chip in emerging interconnect paradigms: Advantagesand challenges. Proceedings of the20093rd ACM/IEEE International Symposium onNetworks-on-Chip. IEEE Computer Society,2009:93-102.
    [14] Hemani A, Jantsch A, Kumar S, et al. Network on chip: An architecture for billion transistor era.Proceeding of the IEEE NorChip Conference.2000,31.
    [15] Hu J, Marculescu R. Energy-and performance-aware mapping for regular NoC architectures.Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2005,24(4):551-562.
    [16] Ogras U Y, Hu J, Marculescu R. Key research problems in NoC design: a holistic perspective.Proceedings of the3rd IEEE/ACM/IFIP international conference on Hardware/software codesign andsystem synthesis. ACM,2005:69-74.
    [17] Kumar S, Jantsch A, Soininen J P, et al. A network on chip architecture and design methodology.VLSI,2002. Proceedings. IEEE Computer Society Annual Symposium on. IEEE,2002:105-112.
    [18] Sgroi M, Sheets M, Mihal A, et al. Addressing the system-on-a-chip interconnect woes throughcommunication-based design. Design Automation Conference,2001. Proceedings. IEEE,2001:667-672.
    [19] Alaghi A, Karimi N, Sedghi M, et al. Online NoC switch fault detection and diagnosis using ahigh level fault model. Defect and Fault-Tolerance in VLSI Systems,2007. DFT'07.22nd IEEEInternational Symposium on. IEEE,2007:21-29.
    [20] Kohler A, Radetzki M. Fault-tolerant architecture and deflection routing for degradable NoCswitches. Proceedings of the20093rd ACM/IEEE International Symposium on Networks-on-Chip.IEEE Computer Society,2009:22-31.
    [21] Grecu C, Ivanov A, Saleh R, et al. On-line fault detection and location for NoC interconnects.On-Line Testing Symposium,2006. IOLTS2006.12th IEEE International. IEEE,2006:6pp.
    [22] Fukushima Y, Fukushi M, Horiguchi S. Fault-tolerant routing algorithm for network on chipwithout virtual channels. Defect and Fault Tolerance in VLSI Systems,2009. DFT'09.24th IEEEInternational Symposium on. IEEE,2009:313-321.
    [23] Xu J, Wolf W, Henkel J, et al. A methodology for design, modeling, and analysis ofnetworks-on-chip. Circuits and Systems,2005. ISCAS2005. IEEE International Symposium on.IEEE,2005:1778-1781.
    [24] Xu J, Wolf W, Henkel J, et al. A design methodology for application-specific networks-on-chip.ACM Transactions on Embedded Computing Systems (TECS),2006,5(2):263-280.
    [25] Dally W J, Seitz C L. Deadlock-free message routing in multiprocessor interconnection networks.Computers, IEEE Transactions on,1987,100(5):547-553.
    [26] Murali S, Seiculescu C, Benini L, et al. Synthesis of networks on chips for3D systems on chips.Proceedings of the2009Asia and South Pacific Design Automation Conference. IEEE Press,2009:242-247.
    [27] Jantsch A. Nostrum. http://www.ict.kth.se/nostrum/
    [28] Bertozzi D, Benini L. Xpipes: A network-on-chip architecture for gigascale systems-on-chip.IEEE Circuits and Systems Magazine,2004,2(4):18-31.
    [29] Bjerregaard T, et al. The MANGO clockless network-on-chip: Concepts and implementation.Technical University of Denmark, Denmark,2005.
    [30] Wiklund D, Dake Liu. SoCBUS: Switched Network on Chip for Hard Real Time EmbeddedSystems. Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS),April2003:8.
    [31] Seiculescu C, Murali S, Benini L, et al. Sunfloor3d: a tool for networks on chip topologysynthesis for3-d systems on chips. Computer-Aided Design of Integrated Circuits and Systems, IEEETransactions on,2010,29(12):1987-2000.
    [32] Yoon Y J, Concer N, Carloni L. Ventti: A vertically integrated framework for simulation andoptimization of networks-on-Chip. SOC Conference (SOCC),2012IEEE International. IEEE,2012:171-176.
    [33] Li K S M. CusNoC: Fast Full-Chip Custom NoC Generation. Very Large Scale Integration (VLSI)Systems, IEEE Transactions on,2013,21(4):692-705
    [34]李磊. NoC NoC的通信研究[博士学位论文].浙江大学,2007.
    [35]付方发.基于NoC的MPSoC关键技术研究[博士学位论文].哈尔滨工业大学,2012.
    [36]侯宁,张多利.面向NoC多核芯片组的任务映射算法.电子测量与仪器学报,2011,25(8):695-699.
    [37]杨盛光,李丽,高明伦,等.面向能耗和延时的NoC映射方法.电子学报,2008,36(5):937-942.
    [38]葛芬.专用NoC设计关键技术研究[博士学位论文].南京航空航天大学,2010.
    [39]陈亦欧,胡剑浩,凌翔.建立在De Bruijn图架构上的三维NoC设计.电子科技大学学报,2011,40(2):204-209.
    [40]朱晓静,胡伟武,马可,等. Xmesh:一个mesh-like NoC拓扑结构.软件学报,2007,18(9):2194-2204.
    [41] Jacob P, Erdogan O, Zia A, et al. Predicting the performance of a3D processor-memory chipstack. Design&Test of Computers, IEEE,2005,22(6):540-547.
    [42] Matsutani H, Koibuchi M, Hsu D F, et al. Three-dimensional layout of on-chip tree-basednetworks. Parallel Architectures, Algorithms, and Networks,2008. I-SPAN2008. InternationalSymposium on. IEEE,2008:281-288.
    [43] Bertozzi D, Jalabert A, Murali S, et al. NoC synthesis flow for customized domain specificmultiprocessor systems-on-chip. Parallel and Distributed Systems, IEEE Transactions on,2005,16(2):113-129.
    [44] Miller F, Wild T, Herkersdorf A. TSV-virtualization for Multi-protocol-Interconnect in3D-ICs.Digital System Design (DSD),201215th Euromicro Conference on. IEEE,2012:374-381.
    [45] Yan S, Lin B. Design of application-specific3D networks-on-chip architectures[M]//3DIntegration for NoC-based SoC Architectures. Springer New York,2011:167-191.
    [46] Li F, Nicopoulos C, Richardson T, et al. Design and management of3D chip multiprocessorsusing network-in-memory. ACM SIGARCH Computer Architecture News,2006,34(2):130-141.
    [47] Rahmani A M, Vaddina K R, Latif K, et al. Design and management of high-performance,reliable and thermal-aware3D networks-on-chip. Circuits, Devices&Systems, IET,2012,6(5):308-321.
    [48] Richardson T D, Nicopoulos C, Park D, et al. A hybrid SoC interconnect with dynamicTDMA-based transaction-less buses and on-chip networks. VLSI Design,2006. Held jointly with5thInternational Conference on Embedded Systems and Design.,19th International Conference on. IEEE,2006.
    [49] Kirman N, Kirman M, Dokania R K, et al. Leveraging optical technology in future bus-basedchip multiprocessors. Proceedings of the39th Annual IEEE/ACM International Symposium onMicroarchitecture. IEEE Computer Society,2006:492-503.
    [50] Chang M F, Cong J, Kaplan A, et al. CMP network-on-chip overlaid with multi-bandRF-interconnect. High Performance Computer Architecture,2008. HPCA2008. IEEE14thInternational Symposium on. IEEE,2008:191-202.
    [51] Youyao L, Jungang H. Double-loop hypercube: a new scalable interconnection network formassively parallel computing. Computing, Communication, Control, and Management,2008.CCCM'08. ISECS International Colloquium on. IEEE,2008,1:170-174.
    [52] Xu J, Wolf W, Henkel J, et al. A design methodology for application-specific networks-on-chip.ACM Transactions on Embedded Computing Systems (TECS),2006,5(2):263-280.
    [53] Bogdan P, Dumitra T, Marculescu R. Stochastic communication: A new paradigm forfault-tolerant networks-on-chip. VLSI design,2007,2007.
    [54] Kim Y B, Kim Y B. Fault tolerant source routing for network-on-chip Defect and Fault-Tolerancein VLSI Systems,2007. DFT'07.22nd IEEE International Symposium on. IEEE,2007:12-20.
    [55] Schonwald T, Zimmermann J, Bringmann O, et al. Fully adaptive fault-tolerant routing algorithmfor network-on-chip architectures Digital System Design Architectures, Methods and Tools,2007.DSD2007.10th Euromicro Conference on. IEEE,2007:527-534.
    [56] Glass C J, Ni L M. Fault-tolerant wormhole routing in meshes without virtual channels. IEEEtransactions on parallel and distributed systems,1996,7(6):620-636.
    [57] Libeskind-Hadas R, Watkins K, Hehre T. Fault-tolerant multicast routing in the mesh with novirtual channels. High-Performance Computer Architecture,1996. Proceedings. Second InternationalSymposium on. IEEE,1996:180-190.
    [58]Wu J. A fault-tolerant and deadlock-free routing protocol in2D meshes based on odd-even turnmodel. Computers, IEEE Transactions on,2003,52(9):1154-1169.
    [59] Ho C T, Stockmeyer L. A new approach to fault-tolerant wormhole routing for mesh-connectedparallel computers. Computers, IEEE Transactions on,2004,53(4):427-438.
    [60] Loi I, Mitra S, Lee T H, et al. A low-overhead fault tolerance scheme for TSV-based3D networkon chip links. Proceedings of the2008IEEE/ACM International Conference on Computer-AidedDesign. IEEE Press,2008:598-602.
    [61] Boppana R V, Chalasani S. Fault-tolerant wormhole routing algorithms for mesh networks.Computers, IEEE Transactions on,1995,44(7):848-864.
    [62] Sridhara S R, Shanbhag N R. Coding for reliable on-chip buses: fundamental limits and practicalcodes. VLSI Design,2005.18th International Conference on. IEEE,2005:417-422.
    [63] Ganguly A, Pande P P, Belzer B. Crosstalk-aware channel coding schemes for energy efficientand reliable NOC interconnects. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,2009,17(11):1626-1639.
    [64] Yu Q, Ampadu P. Adaptive error control for NoC switch-to-switch links in a variable noiseenvironment. Defect and Fault Tolerance of VLSI Systems,2008. DFTVS'08. IEEE InternationalSymposium on. IEEE,2008:352-360.
    [65] Duan C, Calle V H C, Khatri S P. Efficient on-chip crosstalk avoidance CODEC design. VeryLarge Scale Integration (VLSI) Systems, IEEE Transactions on,2009,17(4):551-560.
    [66] Mutyam M. Preventing crosstalk delay using Fibonacci representation. VLSI Design,2004.Proceedings.17th International Conference on. IEEE,2004:685-688
    [67]. Sridhara S R, Shanbhag N R. Coding for system-on-chip networks: a unified framework. VeryLarge Scale Integration (VLSI) Systems, IEEE Transactions on,2005,13(6):655-667.
    [68]石泽文,曾晓洋,虞志益.一种适用于2D Mesh NoC的可重构容错路由算法.小型微型计算机系统,2012,33(001):178-182.
    [69]欧阳一鸣,董少周,梁华国.基于2DMesh的NoC路由算法设计与仿真.计算机工程,2009,35(22).
    [70] Tilera L T D. Tile processor architecture technology brief.2007.
    [71] Zhang B, Gu H, Yang Y, et al. Thermal and competition aware mapping for3D network-on-chip.IEICE Electronics Express,2012,9(19):1510-1515.
    [72] Guerrier P, Greiner A. A generic architecture for on-chip packet-switched interconnections.Proceedings of the conference on Design, automation and test in Europe. ACM,2000:250-256.
    [73] Dally W J, Towles B P. Principles and practices of interconnection networks. Morgan Kaufmann,2004.
    [74] Hu J, Marculescu R. Communication and task scheduling of application-specificnetworks-on-chip. Computers and Digital Techniques, IEE Proceedings-. IET,2005,152(5):643-651.
    [75] Elmiligi H, Morgan A A, El-Kharashi M W, et al. Power-aware topology optimization fornetworks-on-chips. Circuits and Systems,2008. ISCAS2008. IEEE International Symposium on.IEEE,2008:360-363.
    [76] S. Bhat, Energy models for networks-on-chip components. Master’s thesis, TechnischeUniversity Eindhoven, Eindhoven, Netherlands, December2005.
    [77] Predictive Technology Model, http://www.eas.asu. edu/~ptm,2009
    [78] Cheng Y, Hu C. MOSFET modeling and BSIM3user's guide. Springer,1999.
    [79] Noxim: Network-on-Chip Simulator, http://sourceforge.net/projectsnoxim,2008
    [80] Balfour J, Dally W J. Design tradeoffs for tiled CMP on-chip networks. Proceedings of the20thannual international conference on Supercomputing. ACM,2006:187-198.
    [81]A. Sharifi, R.S. Nadooshan, H.S. Azad. The Shuffle-Exchange Mesh Topology for3D NoCs. InProc. International Symposium on Parallel Architectures, Algorithms, and Networks, Sydney,Australia,2008,275-280.
    [82] Palermo G, Mariani G, Silvano C, et al. Mapping and topology customization approaches forapplication-specific stnoc designs. Application-specific Systems, Architectures and Processors,2007.ASAP. IEEE International Conf. on. IEEE,2007:61-68.
    [83] Concer N, Iamundo S, Bononi L. aEqualized: a novel routing algorithm for the Spidergonnetwork on chip. Design, Automation&Test in Europe Conference&Exhibition,2009. DATE'09.IEEE,2009:749-754.
    [84] Ben Ahmed A, Ben Abdallah A, Kuroda K. Architecture and design of efficient3dnetwork-on-chip (3d noc) for custom multicore soc. Broadband, Wireless Computing, Communicationand Applications (BWCCA),2010International Conference on. IEEE,2010:67-73.
    [85] Richardson T D, Nicopoulos C, Park D, et al. A hybrid SoC interconnect with dynamicTDMA-based transaction-less buses and on-chip networks. VLSI Design,2006. Held jointly with5thInternational Conference on Embedded Systems and Design.,19th International Conference on. IEEE,2006.
    [86] Lai B C C, Schaumont P, Verbauwhede I. CT-bus: A heterogeneous CDMA/TDMA bus for futureSoC. Signals, Systems and Computers,2004. Conference Record of the Thirty-Eighth AsilomarConference on. IEEE,2004,2:1868-1872.
    [87] Daneshtalab M, Ebrahimi M, Plosila J. HIBS—Novel inter-layer bus structure for stackedarchitectures.3D Systems Integration Conference (3DIC),2011IEEE International. IEEE,2012:1-7.
    [88] Xu Y, Du Y, Zhao B, et al. A low-radix and low-diameter3D interconnection network design.High Performance Computer Architecture,2009. HPCA2009. IEEE15th International Symposium on.IEEE,2009:30-42.
    [89] Wang H S, Zhu X, Peh L S, et al. Orion: a power-performance simulator for interconnectionnetworks. Microarchitecture,2002.(MICRO-35). Proceedings.35th Annual IEEE/ACM InternationalSymposium on. IEEE,2002:294-305.
    [90] Li Y, Peng S, Chu W. Adaptive box-based efficient fault-tolerant routing in3D torus. Parallel andDistributed Systems,2005. Proceedings.11th International Conference on. IEEE,2005,1:71-77.
    [91] Duan X, Zhang Z. Planar adaptive fault-tolerant routing in PRDT (2,1)-based NoCs. Computerand Information Application (ICCIA),2010International Conference on. IEEE,2010:75-78.
    [92] Wu J. Fault-tolerant adaptive and minimal routing in mesh-connected multicomputers usingextended safety levels. Parallel and Distributed Systems, IEEE Transactions on,2000,11(2):149-159.
    [93] Lin X, McKinley P K, Ni L M. Deadlock-free multicast wormhole routing in2-D meshmulticomputers. Parallel and Distributed Systems, IEEE Transactions on,1994,5(8):793-804.
    [94] Wu J. Reliable unicasting in faulty hypercubes using safety levels. Computers, IEEETransactions on,1997,46(2):241-247.
    [95] Chiu G M. The odd-even turn model for adaptive routing. Parallel and Distributed Systems, IEEETransactions on,2000,11(7):729-738.
    [96] Holsmark R, Kumar S. Corrections to Chen and Chiu's fault tolerant routing algorithm for meshnetworks. Journal of information science and engineering,2007,23(6):1649-1662.
    [97] Pande P P, Zhu H, Ganguly A, et al. Energy reduction through crosstalk avoidance coding in NoCparadigm. Digital System Design: Architectures, Methods and Tools,2006. DSD2006.9thEUROMICRO Conference on. IEEE,2006:689-695.
    [98] Shafaei M, Patooghy A, Miremadi S G. Numeral-Based Crosstalk Avoidance Coding to ReliableNoC Design. Digital System Design (DSD),201114th Euromicro Conference on. IEEE,2011:55-62.
    [99] Patel K N, Markov I L. Error-correction and crosstalk avoidance in DSM busses. Proceedings ofthe2003international workshop on System-level interconnect prediction. ACM,2003:9-14.
    [100] Philippe J M, Pillement S, Sentieys O. Area efficient temporal coding schemes for reducingcrosstalk effects. Quality Electronic Design,2006. ISQED'06.7th International Symposium on. IEEE,2006:6pp.-339.
    [101] Sotiriadis P P, Chandrakasan A. Low power bus coding techniques considering inter-wirecapacitances. Custom Integrated Circuits Conference,2000. CICC. Proceedings of the IEEE2000.IEEE,2000:507-510.
    [102] Duan C, Khatri S P. Exploiting crosstalk to speed up on-chip buses. Design, Automation andTest in Europe Conference and Exhibition,2004. Proceedings. IEEE,2004,2:778-783.
    [103] Kaul H, Sylvester D, Blaauw D. Active shielding of RLC global interconnects. Proceedings ofthe8th ACM/IEEE international workshop on Timing issues in the specification and synthesis ofdigital systems. ACM,2002:98-104.
    [104] Pande P P, Zhu H, Ganguly A, et al. Crosstalk-aware energy reduction in NOC communicationfabrics. SOC Conference,2006IEEE International. IEEE,2006:225-228.
    [105] Duan C, Tirumala A, Khatri S P. Analysis and avoidance of cross-talk in on-chip buses. HotInterconnects9,2001. IEEE,2001:133-138.
    [106] Shafaei M, Patooghy A, Miremadi S G. Numeral-Based Crosstalk Avoidance Coding to ReliableNoC Design. Digital System Design (DSD),201114th Euromicro Conference on. IEEE,2011:55-62.
    [107] Stan M R, Burleson W P. Bus-invert coding for low-power I/O. Very Large Scale Integration(VLSI) Systems, IEEE Transactions on,1995,3(1):49-58.
    [108] Sotiriadis P P P. Interconnect modeling and optimization in deep sub-micron technologies. Ph.D.dissertation, Massachusetts Institute of Technology,2002.
    [109] Bertozzi D, Benini L, De Micheli G. Low power error resilient encoding for on-chip data buses.Proceedings of the conference on Design, automation and test in Europe. IEEE Computer Society,2002:102.
    [110] Nicolaidis M. Design for soft error mitigation. Device and Materials Reliability, IEEETransactions on,2005,5(3):405-418.
    [111] Sylvester D, Wu C. Analytical modeling and characterization of deep-submicrometerinterconnect. Proceedings of the IEEE,2001,89(5):634-664.