用户名: 密码: 验证码:
嵌入式微处理器中动态可配置Cache结构的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成电路进入深亚微米和纳米级工艺阶段,嵌入式微处理器的功耗问题日益严重,功耗问题已经成为制约新一代微处理器发展最主要因素之一。在现代微处理器结构中,Cache作为微处理器和主存之间的桥梁,虽然极大地提高了系统性能,但它无论在芯片面积还是功耗上都占相当大的比重,Cache的功耗甚至对整个嵌入式系统的功耗具有决定性作用。因此,Cache的低功耗研究一直以来都是处理器体系结构领域的热点问题之一。
     不同嵌入式程序对Cache结构的需求差别很大,即使同一应用程序,在不同时间片段对Cache的需求也不一样。传统Cache的结构一般固定不变,经常会出现与应用程序不匹配的现象。本文以提高Cache的能量效率出发,深入研究动态可配置的Cache结构,它在程序运行过程中,动态调整自身的结构,以满足程序的实时需求,在不影响性能的前提下,达到降低功耗的目的。本文的主要创新点与贡献如下:
     首先,研究一种容量动态可配置的Cache结构,根据程序的实时需求,关闭处于空闲状态的存储资源,实现有效容量的调整。主要研究内容包括:分析一种容量可调整的Cache结构,通过使能信号控制各路的打开或关断,改变Cache的有效容量。研究一种高效的失效率硬件监测机制,通过添加辅助标志阵列,监测每种候选容量的失效率,并引入部分标志比较技术降低硬件开销。建立Cache体系结构级功耗模型,作为所有候选容量的评估标准。研究容量动态配置算法,在所有候选容量之中进行设计空间探索,为当前应用程序选择最匹配的Cache容量。
     其次,研究一种相联度动态可配置的Cache结构,当发生程序相变时,触发仲裁机制对相联度重新配置,为当前程序选择最匹配的映射方式。主要研究内容包括:量化分析相联度对Cache性能和功耗的影响,并详细讨论路串联结构的工作原理。建立一种仲裁机制,监测程序访存特征行为,当发生程序相变时,在各种候选相联度之间进行设计空间探索。研究一种自适应的阈值策略,自动调节仲裁机制中阈值大小,提高仲裁机制的准确性和效率。
     最后,将前面两种结构叠加,研究一种容量/相联度均动态可配置的Cache结构,在程序运行期间,能够同时动态调整Cache的有效容量和相联度,极大提高Cache的结构配置空间。主要研究内容包括:详细讨论Cache的组织结构和工作原理,并对硬件开销进行分析。建立一种高效的配置策略,由于同时对容量和相联度调整,使得候选Cache结构数目增大,高效的配置策略在庞大的设计空间中迅速找到最匹配的容量和相联度,极大地降低设计空间探索引起的时间和硬件开销。
As CMOS technology continues to scale down, microprocessors suffer more from the energy consumption problems. Nowadays, the energy consumption has become a major constraint in the state-of-art embedded microprocessors design. Caches are widely employed in modern microprocessor design to bridge the increasing speed gap between the processor and the off-chip main memory. Consequently, caches comsume a significant amount of the transistor budget and chip die area in microprocessors, and also the energy budget. Thus, the caches deserve a complete study of its energy behavior for the next generation microprocessors.
     The demands for cache vary significantly from application to application and even within the different phases of a given application. Traditional cache has fixed architecture, which may not fit certain application. This thesis studies the dynamically reconfigurable cache architecture. The cache monitors and reacts to the phase changes in application, and dynamically adapts its architecture to meet the application's requirement. The evaluation results show that the dynamically reconfigurable cache can achieve a significant energy savings with minimal performance degradations. The following is the main contributions:
     1. The On-line Cache Resizing (OCR) techniques are proposed. The OCR observes the application execution behavior, and dynamically enable/disable particular cache ways to make cache effective size changeable. First, a novel cache architecture which supports size change is analysed. Second, an execution monitoring mechanism employs additional tag array to observe the miss rate for each cache size. Finally, an architectural cache energy model is established. A reconfiguration algorithm is developed to evaluate each cache size and finally determine the optimal one.
     2. The Reconfigurable-Associativity Cache (RAC) techniques are proposed. Whenever an application phase change is detected, the RAC triggers an associativity exploration behavior and sesearches the best one for current application. First, we analyse the associativity's impact on cache performance and energy consumption and discuss the way concatenation cache architecture. Second, an arbitration mechanism is developed to monitoring the application runtime behavior. Whenever there is a phase change, the mechanism explores each associativity candidate and determines the optimal one. Finally, an adaptive threshold strategy is studied, which makes the arbitration mechanism much more effective.
     3. The Reconfigurable-Size/Associativity Cache (RSAC) techniques are proposed after combining the previous OCR and RAC. RSAC dynamically reconfigures both cache size and associativiy, which makes the reconfiguration space much larger. First, we describe the RSAC architecture and discuss the hardware implementation. Second, an efficient reconfiguration strategy is developed. Since the design space becomes larger, the efficiency of candidate cache exploration is important. A smart reconfiguration strategy can achieve a significant reduction in both hardware and energy overhead.
引文
[1] Warwick C A. Trends and limits in the 'talk time' of personal communicators. Proceedings of the IEEE, 1995, 83(4): 681-686
    [2] Rabaey J M. System-level power estimation and optimization challenges and perspectives. In: Proc.of the 1997 International Symposium on Low Power Electronics and Design, Monterey, CA, USA: ACM Press, 1997. 158-160
    [3] Panda P R, Dutt N, Nicolau A. Memory issues in embedded Systems-on-Chip: optimisations and exploration. Boston: Kluwer Academic Publishers, 1999
    [4] Kandemir M, Kadayif I, Sezer U. Exploiting scratch-pad memory using presburger formulas. In: Proc. of the 14~(th) International Symposium on Systems Synthesis (ISSS'01), Montreal, Que., Canada: ACM Press, 2001. 7-12
    [5] Banakar R, Steinke S, Lee B, et al. Scratchpad memory: a designed alternative for cache on-chip memory in embedded system. In: Proc. of the 10~(th) International Symposium on Hardware/software Codesign (CODES'02), Estes Park, Colorado:ACM Press, 2002. 73-78
    [6] Montanaro J, Witek R, Anne K, et al. A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor. Digital Technical Journal, 1997, 9(1):49-62
    [7] Mukherjee S S, Bannon P, Lang S, et al. The Alpha 21364 network architecture. IEEE Micro, 2002, 22(1):26-35
    [8] Stinson J, Rusu S. A 1.5GHz third generation Itanium(?) 2 processor. In: Proc. of the Design Automation Conference, Anaheim, CA, USA: IEEE Press, 2003. 706-709
    [9] Santhanam S, Baum A J, Bertucci D, et al. Low-cost, 300-MHz, RISC CPU with attach media processor. IEEE Journal of Solid-State Circuits, 1998, 33(11):1829-1838
    [10] Marine S, Klauser A, Grunwald D. Pipeline gating: speculation control for energy reduction. In: Proc of the 25~(th) Annual International Symposium on Computer Architecture (ISCA'98), Barcelona, Spain: IEEE Press, 1998. 132-141
    [11] Bechade R, Flaker R, Kauffmann B, et al. 32b 66MHz 1.8W microprocessor. In:Proc. of International Solid-State Circuits Conference (ISSCC'94), San Francisco, CA,USA:IEEE Press,1994.208-209
    [12]Flautner K,Nam S K,Martin S,et al.Drowsy caches:simple techniques for reducingleakage power.In:Proc.of the 29~(th) International Symposium on Computer Architecture (ISCA'02),Anchorage,AK,USA:IEEE Press,2002.148-157
    [13]Catthoor F,Franssen F,Wuytack S,et al.Global communication and memory optimizing transformations for low power signal processing systems.In:Proc.of 1994 IEEE Workshop on VLSI Signal Processing,La Jolla,CA,USA:IEEE Press,1994.178-187
    [14]Catthoor F.Custom memory management.methodology:exploration of memory organisation for embedded multimedia system design.Boston:Kluwer Academic Publishers,1998.
    [15]Beyls K.Software methods to improve data locality and cache behavior:[PhD thesis].Ghent University,2004
    [16]Henessy J L,Patterson D A.计算机系统结构-量化研究方法(第三版).郑纬民等译.北京:电子工业出版社,2004.
    [17]Wuytack S,Catthoor F,DeMan H.Transforming set data types to power optimal data structures.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1996,15(6):619-629
    [18]Silvajr J L,Catthoor F,Verkest D,et al.Power exploration for dynamic data types through virtual memory management refinement.In:Proc.of International Symposium on Low Power Electronics and Design.Monterey (ISLPE'98),Monterey,CA,USA:ACM Press,1998.311-316
    [19]Ahmed N,Mateev N,Pingali K.Synthesizing transformations for locality enhancement of imperfectly-nested loop nests.In:Proc.of the 2000 International Conference on Supercomputing,Sante Fe,NM,USA:ACM Press,2000.141-152
    [20]Yi Q,Adve V,Kennedy K.Transforming loops to recursion for multi-level memory hierarchies.In:Proc.of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI'00),Vancouver,BC,Canada:ACM Prss,2000.169-181
    [21]Gebotys C H.Low energy memory and register allocation using network flow.In: Proc.of the 34~(th) Design Automation Conference, Anaheim, CA, USA: ACM Press,1997.435-440
    [22] Saied R, Chakrabarti Ch. Scheduling for minimizing the number of memory accesses in low power applications. In: Proc. of the 1996 9~(th) IEEE Workshop on VLSI Signal Processing, San Francisco, CA, USA: IEEE Press, 1996. 169-178
    [23] Mike Tien-Chien L, Tiwari V, Malik S, et al. Power analysis and minimization techniques for embedded DSP software. IEEE Transactions on Very Large Scale Integration(VLSI) Systems, 1997, 5(1):123-135
    [24] Lee L H, Moyer B, Arends J. Low-cost embedded program loop caching-revisited.University of Michigan Technical Report Number CSE-TR-411-99, 1999.
    [25]Segars S. Guest editorial: special issue of the digital, memory, and signal processing sessions of the 2003 ISSCC. IEEE Journal of Solid-State Circuits, 2003,38(11):1791-1794
    [26]Raminder S, Hiraki M, Kojirna H, et al. Instruction buffering to reduce power in processors for signal processing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1997, 5(4):417-424
    [27]Hiraki M, Bajwa R S, Kojima H, et al. Stage-skip pipeline: a low power processor architecture using a decoded instruction buffer. In: Proc. of the 1996 International Symposium on Low Power Electronics and Design (ISLPED'96), Monterey, CA,USA: IEEE Press, 1996. 353-358
    [28] Kin J, Gupta M, Mangione-Smith W H. Filter cache: an energy efficient memory structure. In: Proc. of the 1997 30th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-30), Triangle Park, NC, USA: IEEE Press, 1997. 184-193
    [29] Bellas N, Hajj I, Polychronopoulos C, et al. Energy and performance improvements in microprocessor design using a loop cache. In: Proc. of the 1999 International Conference on Computer Design (ICCD'99), Austin, TX, USA: IEEE Press, 1999.378-383
    [30]Lee L H, Moyer B, Arends J. Instruction fetch energy reduction using loop caches for embedded applications with small tight loops. In: Proc. of the 1999 International Symposium on Low Power Electronics and Design (ISLPED'99), San Diego, CA, USA: IEEE Press, 1999. 267-269
    [31]Ko U, Balsara P T, Nanda A K. Energy optimization of multilevel cache architectures for RISC and CISC processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1998, 6(2):299-308
    [32] Ko U, Balsara P T. Characterization and design of a low-power, high-performance cache architecture. In: Proc. of the 1995 International Symposium on VLSI Technology, Systems and Applications, Taipei, Taiwan: IEEE Press, 1995. 235-238
    [33] Iris Bahar R, Albera G, Manne S. Power and performance tradeoffs using various caching strategies. In: Proc. of the 1998 International Symposium on Low Power Electronics and Design (ISLPED'98), Monterey, CA, USA: ACM Press, 1998. 64-69
    [34]Ogawa K, Kohno M, Kitamura F. PASTEL: a parameterized memory characterization system. In: Proc. of the conference on Design, Automation and Test in Europe, Paris, France: IEEE Press, 1998.15-21
    [35] Bellas N, Hajj I, Polychronopoulos C. An analytical, transistor-level energy model for SRAM-based caches. In: Proc. of the 1999 IEEE International Symposium on Circuits and Systems (ISCAS'99). Orlando, FL, USA: ACM Press, 1999.198-201
    [36]Zyuban V, Kogge P. The energy complexity of register files. In: Proc. of the 1998 International Symposium on Low Power Electronics and Design (ISLPED'98),Monterey, CA, USA: ACM Press, 1998. 305-310
    [37] Coumeri S L, Thomas D E. Memory modeling for system synthesis. In: Proc.of the 1998 International Symposium on Low Power Electronics and Design (ISLPED'98),Monterey, CA, USA: ACM Press, 1998.179-184
    [38]Juan T, Lang T, Navarro J J. Reducing TLB power requirements. In: Proc.of the 1997 International Symposium on Low Power Electronics and Design (ISLPED'97),Monterey, CA, USA: ACM Press, 1997.196-201
    [39] Evans R J, Franzon P D. Energy consumption modeling and optimization for SRAM's. IEEE Journal of Solid-State Circuits, 1995, 30(5):571-579
    [40]Ranganathan P, Adve S, Jouppi N P. Reconfigurable caches and their application to media processing. In: Proc. of the 27~(th) International Symposium on Computer Architecture, Vancouver, British Columbia, Canada: ACM Press, 2000. 214-224
    [41]Nacul A C, Givargis T. Dynamic voltage and cache reconfiguration for low power.In: Proc. of the Design, Automation and Test in Europe Conference and Exhibition,Paris France: IEEE Press, 2004. 1376-1377
    [42]Grun P, Dutt N, Nicolau A. Access pattern based local memory customization for low power embedded systems. In: Proc of the conference on Design, Automation and Test in Europe, Munich, Germany: IEEE Press, 2001. 778-784
    [43] Li Y, Henkel J. A framework for estimation and minimizing energy dissipation of embedded HW/SW systems. In: Proc. of the 1998 35~(th) Design Automation Conference, San Francisco, CA, USA: IEEE Press,.1998,188-193
    [44]Viana P, Gordon-Ross A, Keogh E, et al. Configurable cache subsetting for fast cache tuning. In: Proc. of 2006 43~(rd) ACM/IEEE Design Automation Conference (DAC'06), San Francisco, CA, USA: IEEE Press, 2006. 695-700
    [45] Gordon-Ross A, Vahid F, Dutt N. Automatic tuning of two-level caches to embedded applications. In: Proc. of the Design, Automation and Test in Europe Conference and Exhibition, Paris, France: IEEE Press, 2004. 208-213
    [46] Powell M, Yang S, Falsafi B, et al. Reducing leakage in a high-performance deep-submicron instruction cache. IEEE Transactions on Very Large Scale Integration (VLSI) System, 2001, 9(1):77-89
    [47] Powell M, Yang S, Falsafi B, et al. Gated-vdd: a circuit technique to reduce leakage in deep-submicron cache memories. In: Proc. of the International Symposium on Low Power Electronics and Design (ISLPED'00), Portacino Coast, Italy: ACM Press,2000. 90-95
    [48]Kaxiras S, Hu Z, Martonosi M. Cache decay: exploiting generational behavior to reduce cache leakage power. In: Proc. of the 28~(th) International Symposium on Computer Architecture, Goteborg, Sweden: IEEE Press, 2001. 240-251
    [49]Yoshimoto M, Anami K, Shinohara H, et al. Divided word-line structure in the static RAM and its application to a 64K full CMOS RAM. IEEE Journal of Solid State Circuits, 1983, 18(5):479-485
    [50]Hirose T, Kuriyama H, Murakami S, et al. A 20-ns 4-Mb CMOS SRAM with hierarchical word decoding architecture. IEEE Journal of Solid State Circuits, 1990, 25(5):1068-1074
    [51]Karandikar A,Parhi K K.Low power SRAM design using hierarchical divided bit-line approach.In:Proc.of the 1998 IEEE International Conference on Computer Design,Austin,TX,USA:IEEE Press,1998.82-88
    [52]Agarwal A,Li H,Roy K.DRG-Cache:a data retention gated-ground cache for low power.In:Proc.of the 39~(th) ACM/IEEE Design Automation Conference,New Orleans,LA,USA:IEEE Press,2002.473-478
    [53]Li L,Tsai Y,Vijaykrishnan N,et al.Leakage energy management in cache hierarchies.In:Proc.of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT'02),Charlottesville,VA,USA:IEEE Press,2002.131-140
    [54]Kim C H,Roy K.Dynamic Vt SRAM:a leakage tolerant cache memory for low voltage microprocessors.In:Proc.of the 2002 International Symposium on Low Power Electronics and Design (ISLPED'02),Monterey,CA,USA:IEEE Press,2002.251-254
    [55]Azizi N,Moshovos A,Najm F N.Low-leakage asymmetric-cell sram.In:Proc.of the 2002 International Symposium on Low Power Electronics and Design (ISLPED'02),Monterey,CA,USA:IEEE Press,2002.48-51
    [56]Nii K,Makino H,Tujihashi Y,et al.A low power SRAM using auto-backgate-controlled MT-CMOS.In:Proc.of the 1998 International Symposium on Low Power Electronics and Design (ISLPED'98),Monterey,CA,USA:ACM Press,1998.293-298
    [57]Benini L,Bogliolo A,De Micheli G.A survey of design techniques for system-level dynamic power management.IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2000,8(3):299-316
    [58]Patterson D A,Hennessy J L.计算机组成与设计:硬件/软件接口(第三版).郑纬民等译.北京:机械工业出版社,2007.
    [59]杜贵然.多路径Trace处理器:[博士学位论文].湖南:国防科技大学,2001.
    [60]郇丹丹.高性能存储系统研究:[博士学位论文].北京:中国科学院计算技术研究所,2006.
    [61]Albonesi D H. Selective cache ways: on-demand cache resource allocation. In: Proc.of the 32~(nd) Annual ACM/IEEE International Symposium on Microarchitecture (MICRO-32), Haifa, Israel: IEEE Press, 1999. 248-259
    [62]Tadas S H, Chakrabarti C. Architectural approaches to reduce leakage energy in caches. In: Proc. of the 2002 IEEE International Symposium on Circuits and System,Phoenix-Scottsdale, AZ, USA: IEEE Press, 2002. 481-484
    [63]Karthik S, Kevinl S. Profile-based adaptation for cache decay. ACM Transaction on Architecture and Code Optimization, 2004, l(3):305-322
    [64]Balasubramonian R., Albonesi D, Buyuktosunoglu A, et al. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architecture. In: Proc. of the 33~(rd) International Symposium on Microarchitecture (MICRO-33),Monterey, CA, USA: IEEE Press, 2000. 245-257
    [65] Huang M C, Renau J, Torrellas J. Positional adaptation of processors: application to energy reduction. In: Proc. of the 30th Annual International Symposium on Computer Architecture, San Diego,CA,USA: IEEE Press, 2003. 157-168
    [66]Bala V, Duesterwald E, Banerjia S. Dynamo: a transparent dynamic optimization system. In: Proc. of the ACM SIGPLAN 2000 Conference on Programming Language Design and Implementation (PDLI'00), Vancouver, BC, Canada: ACM Press, 2000.1-12
    [67]Mattson R, Gecsei J, Slutz D, eta al. Evaluation techniques for storage hierarchies. IBM Systems Journal, 1970, 9(2):78-117
    [68]Min R, Zhiyong X, Yiming H, et al. Partial tag comparison: a new technology for power-efficient set-associative cache designs. In: Proc. of the 17~(th) International Conference on VLSI Design, Mumbai, India: IEEE Press, 2004. 183-188
    [69]Memik G, Reinman G, Mangione-Smith W H. Reducing energy and delay using efficient victim caches. In: Proc. of the 2003 International Symposium on Low Power Electronics and Design (ISLPED'03), Seoul, Korea: ACM Press, 2003. 262-265
    [70] Su C, Despain A. Cache design trade-offs for power and performance optimization: a case study. In: Proc. of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'95), Dana Point, CA, USA: ACM Press, 1995. 63-68
    [71]Kamble M B, Ghose K. Analytical energy dissipation models for low power caches.In: Proc. of the 1997 International Symposium on Low-Power Electronics and Design (ISLPED'97), Monterey, CA, USA: ACM Press, 1997.143-148
    [72] Wilton S, Jouppi N. CACTI: an enhanced access and cycle time model. EEEE Journal of Solid-State Circuits, 1996,31(5):677-687
    [73]Ramaswamy S, Yalamanchili S. Improving cache efficiency via resizing+ remapping. In: Proc. of the 2007 IEEE International Conference on Computer Design,Lake Tahoe, CA, USA: IEEE Press, 2007. 47-54
    [74] Rodriguez S, Jacob B. Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nrn). In: Proc. of the International Symposium on Low Power Electronics and Design (ISLPED'06), Tegernsee, Bavaria, Germany: IEEE Press,2006. 25-30
    [75]Reinman G, Jouppi N P. CACTI 2.0: an integrated cache timing and power model.COMPAQ Western Research Lab. University Avenue Palo Alto, CA, USA. 1999.
    [76] Wilton S J E, Jones C W, Lamoureux J. An embedded flexible content-addressable memory core for inclusion in a Field-Programmable Gate Array. In: Proc. of the 2004 IEEE International Symposium on Circuits and Systems, Vancouver, BC, Canada:IEEE Press, 2004. 885-888
    [77]lnoue K, Ishihara T, Murakami K. Way-predictive set-associative cache for high performance and low energy consumption. In: Proc. of the 1999 International Symposium on Low Power Electronics and Design, San Diego, CA, USA: IEEE Press, 1999. 275-275
    [78]Hsin-Chuan C, Jen-Shiun C. Low-power way-predicting cache using valid-bit pre-decision for parallel architectures. In: Proc. of the 19~(th) International Conference on Advanced Information Networking and Applications, Taipei, Taiwan: IEEE Press,2005. 203-206
    [79] Zhichun Z, Xiaodong Z. Access-mode predictions for low-power cache design.IEEE Micro, 2002, 22(2):58-71
    [80] Huang M, Renau J, Yoo S M, et al. LI data cache decomposition for energy efficiency. In: Proc.of the International Symposium on Low Power Electronics and Desing (ISLPED'01), Huntington Beach, CA, USA: IEEE Press, 2001. 10-15
    [81]Dropsho S, Buyuktosunoglu A, Balasubramonian R, et al.Integrating adaptive on-chip storage structures for reduced dynamic power. In: Proc. of the 2002 International Conference on Parallel Architectures and Compilation Techniques,Charlottesville, VA, USA: IEEE Press, 2002. 141-152
    [82] Zhang M, Asanovic K. Highly-associative caches for low-power processors. In: Proc.of the 33~(nd) International Symposium on Microarchitecture, Kool chips workshop,Monterey, CA, USA: IEEE Press, 2000. 191 -201
    [83]Hasegawa A, Kawasaki I, Yamada K, et al. SH3: high code density, low power.IEEE Micro, 1995, 15(6):11-19
    [84]Calder B, Grunwall D, Emer J. Predictive sequential associative cache. In: Proc. of the 2~(nd) International Symposium on High Performance Computer Architecture, San Jose, CA, USA: IEEE Press, 1996. 244-253
    [85]Batson B, Vijaykumar T N. Reactive-associative caches. In: Proc. of the 2001 International Conference on Parallel Architectures and Compilation Techniques,Barcelona, Spain: IEEE Press, 2001. 49-60
    [86]Powell M D, Agarwal A, Vijaykumar T N, et al. Reducing set-associative cache energy via way-prediction and selective direct-mapping. In: Proc. of the 34~(th) ACM/IEEE International Symposium on Microarchitecture (MICRO-34), Austin, TX,USA: IEEE Press, 2001. 54-65
    [87]Zhang C, Vahid F, Najjar W. A highly configurable cache architecture for embedded systems. In: Proc. of the 30~(th) Annual International Symposium on Computer Architecture, San Diego, CA, USA: IEEE Press, 2003. 136-146
    [88]Inoue K. High-performance low-power cache memory architectures: [PhD thesis].Kyushu University, 2001
    [89]Byung-Do Y, Lee-Sup K. A low-power SRAM using hierarchical bit line and local sense anplifiers. IEEE Journal of Solid State Circuits, 2005, 40(6): 1366-1376
    [90]Ravindran R A. Hardware/software techniques for memory power optimizations in embedded processors: [PhD thesis]. The University of Michigan, 2007

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700