用户名: 密码: 验证码:
基于FPGA的动态部分重构系统实现
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
上世纪60年代,美国加利福尼亚大学的Geraid Estrin提出了可重构计算的概念,并研制了原型系统,奠定了可重构计算系统的基础。70年代末,Suetlana P. Kartashev和Steven I. Kartashev博士提出了动态可重构系统的概念,对集成电路动态可重构系统进行了研究。进入90年代,可重构技术成为研究热点。基于此技术设计的可重构系统(Reconfigurable System)在多个领域广泛应用。近年来国外的航天机构如美国宇航局(NASA)和欧洲空间局(ESA)更是将可重构技术应用于航天领域。
     数字电路设计中使用FPGA来完成系统的重构。基于FPGA动态可重构技术将设计从一个纯空间的数字逻辑系统化解为在时间、空间混合构建的数字逻辑系统。这种技术是数字系统设计方法、设计思想的变革,使FPGA资源利用率成倍提高,实现系统功能所用的硬件规模大大下降。
     我国目前在FPGA可重构技术方面开展的研究很少。本论文旨在研究一种基于FPGA的可重构系统,采用CPLD辅助CPU控制FPGA动态部分重构的设计方案来实现,能够在系统运行时实现FPGA逻辑功能的动态部分重构。
     本文以Xilinx公司Virtex系列器件为研究载体,针对基于SRAM工艺的FPGA的配置原理、配置结构和配置流程进行了研究。采用基于模块化设计方法实现FPGA动态部分重构,同时对基于差别的部分重构实现方法也作了研究。
     本文中FPGA采用SelectMAP配置方式,实现配置逻辑的快速重构和动态部分重构,此外系统也能够实现FPGA在串行方式下的静态重构和在JTAG配置方式下动态重构。探讨了利用FPGA回读功能实现抗单粒子翻转的设计方法。采用VC设计可重构系统配置的控制软件,将此软件稍作修改,可以方便的将本系统移植到其他嵌入式系统中。
     本课题的研究成果为我国未来将可重构技术应用于航天器电子系统设计打下良好的基础,具有较好的借鉴意义。
In 1960s, Geraid Estrin, the professor of University of California, put forward the concept of reconfigurable computing. He also brought a prototype system, by which the theory of reconfigurable computing is established. In late 1970s, DR. Suetlana P. Kartashev and Dr. Steven I. Kartashev mentioned the concept of dynamic reconfigurable system, and they further continued the research about how to form the dynamically reconfigurable system with IC.
     The reconfigurable technology has been a hot topic of research since 1990s, and systems based on this technology have been applied in many areas.Recently, several institutes and space organization, such as NASA and ESA, have applied reconfigurable technology on space electronics design.
     Reconfigurable systems of FPGA which this paper focuses on are mainly used in IC design.When using FPGA to establish reconfigurable systems, the system is transformed from a pure space digital logic design to a time-space mixture one.This new type system appears to implement the same function as observed in time scope, but the hardware resource is greatly reduced because of applying the reconfigurable technology. The reconfigurable technology based on FPGA is an innovation in IC design area.
     Few researches about reconfigurable system are carried out in China.This paper is to introduce a reconfigurable system, in which the FPGA is dynamically and partially reconfigured while the system is running normally.
     Because the FPGA used in the system is Virtex series which is produced by Xilinx incorporation, the structure, mechanism, and process of configuration based on Xilinx SRAM FPGA is analyzed. The paper also analyses the method of reconfiguration. The module-based design methodology is used to achieve FPGA dynamic partial reconfiguration, through which the FPGA function is divided into several modules, each module possessing separate physical area in FPGA. These modules can be reconfigured when other modules operate normally.The paper also analyses the difference-based reconfiguration method.
     There is a CPU in the system that control the process of the reconfiguration.A CPLD which is also a Xilinx product is applied as an auxiliary device. FPGA is configured by SelectMAP mode, by which the FPGA can be configured and reconfigured rapidly.The system can also implement the static configuration of the FPGA by serial mode and the dynamic reconfiguration of the FPGA by JTAG mode. The paper also introduces the function of reading back configuration data from FPGA, this function can verify the Single Event Upset. Software which is developed in Visual C++ environment control the process of the reconfiguration, and user could make a little change to transplant this software to other embedded systems.
     The fruit of this thesis establishes a firm foundation for the actual development of reconfiguration design of avionic device of our country. It affords a good reference to design a reconfigurable system with FPGA.
引文
[1] Aditya Newalkar. Alternative Techniques for Built-In-Self-Test of Field Program Gate Arrays[D]. Auburn:Auburn University,2005.
    [2] Pawel Tomaszewicz,Mariusz Rawski,et al.Self-Testing of User-Programmed FPGAs Based on the Concept of Linear Segments [C]. Euromicro Symposium on Digital Systems Design, IEEE Computer Society,2001:236-242.
    [3] Christian F. da Silva, Alice M. RECASTER Synthesis of Fault-Tolerant Embedded Systems based on Dynamically Reconfigurable FPGAs[C].Tokarnia Proceedings of the 18th International Parallel and Distributed Processing Symposium,2004.
    [4] Anna Antola,Vincenzo Piuri,et al. On-line Diagnosis and Reconfiguration of FPGA Systems[C]. Proceedings of the First IEEE International Workshop on Electronic Design, Test and Applications (DELTA2002),2002:291-296.
    [5] Manuel G. Gericota, Gustavo R. Alves,et al. DRAFT An On-Line Fault Detection Method for Dynamic and Partially Reconfigurable FPGAs[C]. Proceedings of the 7th International On-Line Testing Workshop, IEEE,2001:34-36.
    [6] S. Guccione, San Jose,et al. Design Technology for Networked Reconfigurable FPGA Platforms[C]. Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition,2002: 994-999.
    [7] Haoyu Song, John W. Lockwood. Efficient Packet Classification for Network Intrusion Detection Using FPGA[C]. Proceedings International Symposium on Field Programmable Gate Arrays (FPGA),2005: 238-245.
    [8] Steve Casselman, John Schewel.Net Aware BitStreams that Upgrade FPGA Hardware Remotely Over the Internet[C]. Proceedings of SPIE, 2002(4867).
    [9] Sriram Swaminathan, Russell Tessier,et al. A Dynamically Reconfigurable Adaptive Viterbi Decoder[C].Proceedings of the 2002 ACM/SIGDA 10th International Symposium on Field-Programmable Gate Arrays,2002:227-236.
    [10] Daijin Kim,An Implementation of Fuzzy Logic Controller on the Reconfigurable FPGA System[J].IEEE Transactions on Industral Electronics, 2000, 47(3):703-715.
    [11] Andrey Filippov,Encoding High-Resolution Ogg/Theora Video with Reconfigurable FPGAs[J]. Xcell Journal ,Second Quarter 2005.
    [12] Brian Schoner,Chris Jones,John Villasenor.Issues in Wireless Video Coding usingRun-time-reconfigurable FPGAs[C]. Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, 1995:85-89.
    [13] Jim Torresen. Reconfigurable Logic Applied for Designing Adaptive Hardware Systems[C].International Conference on Advances in Infrastructure for Electronic Business, Education, Science, and Medicine on the Internet (SSGRR 2002W), 2002.
    [14] Steve Halter, Mats Oberg, et al.Reconfigurable Signal Processor for Channel Coding & Decoding in Low SNR Wireless Communications[C]. IEEE Workshop on Signal Processing Systems(SIPS), 1998,10:260-274.
    [15] Tamara Snowden.VisiCom Uses Xilinx FPGAs for a Reconfigurable Image Processing Module[EB/OL]. http://www.visicom.com/.
    [16] Andres Upegui.Self-Reconfigurable Platform for Cryptographic Application[D]. Lausanne:SWISS Federal Institute of Technology Lausanne, 2006.
    [17] Jon Arnold, Adrian Caldow, Kevin Harman.A Reconfigurable 100 Mchip/s Spread Spectrum Receiver[C].Proceedings of IEEE International Conference on Acoustics, Speech, and Signal(ICASSP). 2003(2):445-448.
    [18] Gaisler Research.Suitability of Reprogrammable FPGAs in Space Applications: Feasibility Report[DB/OL].http://klabs.org/richcontent /fpga_content /DesignNotes /fpga_suitability_fpga_002_01-0-4.pdf, 2002.
    [19] Sharon Lim Siok Lin, Ian McLoughlin,et al.Reconfigurable, Fault Tolerant and High Performance Payload for Space Missions[C]. Proceedings of the International Conference on Military and Aerospace Programmable Logic Devices,2003.
    [20] G Estrin, et al. Parallel Processing in a Restructurable Computer System[J] . Electronic Computers,IEEE Trans.1963,(12):747-755.
    [21] Steven I. Kartashev, Svetlana P. Kartashev: A Multicomputer System with Dynamic Architecture[J]. Computers,IEEE Trans.1979 ,28(10): 704-721.
    [22] Svetlana P. Kartashev, Steven I. Kartashev: Adaptive Assignment of Hardware Resources for Dynamic Architectures[C]. IEEE Real-Time Systems Symposium 1981: 130-143.
    [23] Tanya Vladimirova,XiaoFeng Wu.On-board Partial Run-time Reconfiguration for Pico-Satellite Constellations[C]. Adaptive Hardware and Systems, 2006(AHS 2006),First NASA/ESA Conference on,2006:262- 269.
    [24] C.Okino,C.Lee,A.Gray,P.Arabshahi.An Autonomous Evolvable Architecture in aReconfigurable Protocol Chip for Satellite Networks[C]. Proceedings of the International Conference on Military and Aerospace Programmable Logic Devices,2003.
    [25] Steffen Toscher,Thomas Reinemann,Roland Kasper. An Adaptive FPGA-Based Mechatronic Control System Supporting Partial Reconfiguration of Controller Functionalities[C]. Adaptive Hardware and Systems, 2006(AHS 2006), First NASA/ESA Conference on,2006:225- 228.
    [26] NASA and UQ to develop Linux-based Reconfigurable Space Computing System[EB/OL]. http://www.petalogix.com/news_events/RSC_html.
    [27] John Williams, Neil Bergmann. Embedded Linux as a platform for dynamically self-reconfiguring systems-on-chip[C].Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms(ERSA 2004),2004(1):163-169.
    [28] A.Stoica, D. Keymeulen, R.S.Zebulum, et al.Evolvable,Reconfigurable Hardware for Future Space Systems[C].World Space Congress,International Astronautical Federation,2002.
    [29] Natalie Clark, Paul Furth,Steven Horan. Intelligent Reconfigurable Integrated Satellite Processor[C]. 14th USU Conference on Small Satellites,2000: SSC00-VI-1.
    [30] Ricardo Zebulum,Adrian Stoica,Didier Keymeulen.Experiments on the Evolution of Digital to Analog Converters[C]. Proceedings of the IEEE Aerospace Conference, 2001,5:2321-2331.
    [31] R.Zebulum, A.Stoica, D.Keymeulen. A Flexible Model of a CMOS Field Programmable Transistor Array Targeted for Hardware Evolution[C]. Third Int. Conference on Evolvable Systems:From Biology to Hardware(ICES2000),2000,4:274-283.
    [32] Adrian Stoica,M.I.Ferguson,et al.Rapid Evolution of Analog Circuits Configured on a Field Programmable Transistor Array[C]. Proceedings of Smart Engineering System Design Conference (ANNIE2002), 2002,11:817-822.
    [33] Adrian Stoica, Ricardo Zebulum,Didier Keymeulen. Process and Challenges in Building Evolvable Devices[C].Third NASA/DoD Workshop on Evolvable Hardware, 2001,7:33-35.
    [34] Adrian Stocia,Xin Guo,Ricardo S.Zebulum.On-Chip Evolutionary Synthesis of Reconfigurable Analog Computing Circuits[C].In Government Microcircuit Applications and Critical Technology Conference (GOMAC-2003) ,2003.
    [35] Richard F.Conde,Ann Garrison,et al. Adaptive Instrument Module-A Reconfigurable Processor for Spacecraft Applications [C]. Military and Aerospace Programmable Logic Devices Conference, 1999.
    [36] Daixun Zheng,Tanya Vladimirova,et al. Reconfigurable Single-Chip on-Board Computer for a Small Satellite[C]. 52nd International Astronautical Congress, 2001:IAF-01-U3.09.
    [37] James Greco, Grzegorz Cieslewski, Adam Jacobs, Ian A. Troxel, Alan D. George. Hardware/software Interface for High-performance Space Computing with FPGA Coprocessors[C]. Proceedings of IEEE Aerospace Conference, 2006.
    [38] A. Stoica, D. Keymeulen,et al. Evolutionary experiments with a fine-grained reconfigurable architecture for analog and digital CMOS circuits[C]. Proceedings of the First NASA/DoD Workshop Evolvable Hardware, 1999:76–84.
    [39] Andrew Parfitt. FedSat: Australian Space Science and Technology in Action[C]. Proceedings of Workshop on the Applications of Radio Science(WARS04) ,2004.
    [40] Neil Bergmann. Adaptive Instrument Interfacing for Satellites using FPGAs[C]. 5th Annual conference on Commercialisation of Miltary and Space Electronics, 2001.
    [41] Ann Garrison Darrin, Richard Conde,et al. Adaptive Instrument Module Space Instrument Controller “Brain” through Programmable Logic Devices[C]. Proceedings of the Third NASA/DoD Workshop on Evolvable Hardware,2001:256-260.
    [42] Neil W. Bergmann, Anwar S. Dawood. Adaptive Interfacing with Reconfigurable Computers[C].Proceedings of the 6th Computer Systems Architecture Conference(ACSAC 2001),2001:11-18.
    [43] Anwar Dawood , Neil Bergmann. An Adaptive Instrument Module (AIM) for Satellite Systems[C]. Fifth International Symposium on Signal Processing and its Applications(ISSPA’99),1999:677-681.
    [44] Anwar Dawood , Neil Bergmann. Enabling Technologies for the Use of Reconfigurable Computing in Space[C]. Fifth International Symposium on Signal Processing and its Applications(ISSPA’99),1999:683-687.
    [45] Anwar S.Dawood,John A.Williams,et al. Flexible Real Time Signal Filtering inSpace Using Reconfigurable Logic[C]. IEEE 14th International Conference on Digital Signal Processing,2002:849-852.
    [46] Stephen J.Visser, Anwar S.Dawood,et al. Dawood.FPGA Based Real-time Adaptive Filtering for Space Applications[C]. IEEE International Conference on Field Programmable Technology,2002: 322-326.
    [47] John A.Williams ,Anwar S.Dawood, et al. FPGA-Based Cloud Detection for Real-Time Onboard Remote Sensing[C].IEEE International Conference on Field Programmable Technology, 2002:110-116.
    [48] Anwar S.Dawood,John A.Williams,et al. On-board Satellite Image Compression Using Reconfigurable FPGAs[C].IEEE International Conference on Field Programmable Technology, 2002:306-310.
    [49] Anwar S.Dawood,Stephen J.Visser,et al. Reconfigurable FPGAs for real time image processing in space[C]. Proceedings of the 14th International Conference on Digital Signal Processing,2002:845-848.
    [50] Andrew A. Gray, Clement Lee, Payman Arabshahi, Jeffrey Srinivasan. Object-Oriented Reconfigurable Processing for Wireless Networks[C].IEEE International Conference on Communications, 2002(1):497-501.
    [51] Jeffrey Srinivasan, Allen Farrington, et al. Software Reconfigurable Processor Technologies[J].IPN-ISD Technology & Science News, 2001,14:3-6.
    [52] Clement G. Lee, Andrew A. Gray, et al. Software/Hardware Reconfigurable Network Processor for Space Networks[C]. In 4th annual Military and Aerospace Applications of Programmable Devices and Technologies International Conference (MAPLS), 2001.
    [53] Dennis M. Bushnell,Olaf O. Storaasli,et al. Importance of Ultrafast Computing for NASA Missions[DB/OL].http://hummer.larc.nasa.gov/acmbexternal /Personnel /Storaasli/reconfig.html,2002.
    [54] Olaf O. Storaasli,Robert C.Singleterry,et al. Scientific Computations on a NASA Reconfigurable Hypercomputer[C].5th Military and Aerospace Programmable Logic DevicesConference (MAPLD), 2002.
    [55] Tanya Vladimirova, Andreas Steffens. Compression of Multispectral Images On-Board Observation Satellites[C].Scientific Conference “SPACE, ECOLOGY, SAFETY” with International Participation, 2005:105-111.
    [56] Daixun Zheng, Tanya Vladimirova,et al. Reconfigurable Single-Chip On-BoardComputer for a Small Satellite[C].52nd International Astronautical Federation Congress, 2001:IAF-01-U3.09.
    [57] Tanya Vladimirova. Reconfigurable System-on-a-Chip Based Platform for Satellite On-Board Computing[C].Scientific Conference “SPACE, ECOLOGY, SAFETY”with International Participation. 2005:11-117.
    [58] Johannes van der Horst. Literature Study:Radiation tolerant implementation of a LEON processor for space applications[DB/OL]. http://students.ee.sun.ac.za/~jvdh/docs/rad_tol_leon_lit.pdf, 2005.
    [59] Sandi Habinc. Designing Space Applications Using Synthesizable Cores[C]. Military and Aerospace Applications ofProgrammable Devices and Technologies Conference,1999.
    [60] D.Zheng, T.Vladimirova.Application of Programmable System-on-a-Chip for Small Satellite Computers[J].Journal for Chinese Space Science and Technology, 2004,1(24): 37-44.
    [61] Neville I. Marzwell, Robert D. Waterman,et al.How to Extend the Capabilities of Space Systems for Long Duration Space Exploration Systems[C].AIP Conference Proceedings, 2005 (746): 1153-1162.
    [62] Jason Lohn, Gregory Hornby,et al.Evolvable Hardware for Space Applications[C]. 1st Intelligent Systems Technical Conference, 2004.
    [63] Jason Lohn, James Crawford,et al.Evolvable Systems for Space Applications[C]. International Conference on Space Mission Challenges for Information Technology,2003.
    [64] Mark L. Chang.Adaptive Computing in NASA Multi-Spectral Image Processing[D]. Evanston:Northwestern University,1999.
    [65] 黄海鹰,黄华.动态重构逻辑及现状[J].微处理机,1998,4:1-3.
    [66] 朱明程 .FPGA 动态可重构数字电路容错系统的研究 [J]. 东南大学学报,2000,30(4):138-142.
    [67] 鲍晓宇,施克仁.可重构信息处理[J].计算机自动测量与控制 2000,1(8):1-4.
    [68] 安军社,李杨等.一种高性能、高可靠、可重构计算机的设计与实现[J].计算机工程,2004,19(30):139-143.
    [69] 梁甲华,林争辉等.基于可重构 FPGA 技术的自适应 FIR 滤波器的实现[J].电子工程师.2004,12(30):48-50.
    [70] 武文权.可重构并行小卫星星载计算机体系结构设计[D].上海:中国科学院上海微系统与信息技术研究所,2004.
    [71] 杜文志.航天器 FPGA 在系统局部重构容错设计研究[J].中国空间技术科学2005,5:10-16.
    [72] Sverre Vigander,Evolutionary Fault Repair of Electronics in Space Applications[D].Sussex :University of Sussex, 2001.
    [73] http://www.xilinx.com
    [74] http://www.actel.com
    [75] http://www. altera.com
    [76] http://www.quicklogic.com
    [77] http://www. atmel.com
    [78] http://www.chipx.com
    [79] http://www. lattice.com
    [80] Xilinx Inc.Xapp 151,Virtex Series Configuration Architecture User Guide[DB/OL]. http://www.xilinx.com/bvdocs/appnotes/xapp151.pdf,2004.
    [81] Xilinx Inc.Xapp138,Virtex FPGA Series Configuration and Readback[DB/OL]. http://www.xilinx.com/bvdocs/appnotes/xapp138.pdf,2005.
    [82] Xilinx Inc.Virtex-ETM 1.8V Field Programmable Gate Arrays[DB/OL]. http://direct.xilinx.com/bvdocs/publications/ds022-4.pdf, 2002.
    [83] Jiri Kadlec.Reconfigurable Floating Point Co-Processor for Atmel FPSLIC[M/CD]. Proceedings of MAPLD 2005 International Conference,2005.
    [84] S. Pontarelli, G.C. Cardarilli, et al.System-on-Chip Oriented Fault-Tolerant Sequential Systems Implementation Methodology[C]. Proceedings of the 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’01),2001: 455-460.
    [85] Marios Iliopoulos,Theodore Antonakopoulos.Reconfigurable Network Processors Based on Field Programmable System Level Integrated Circuits[C]. Proceedings of the 10th International Conference on Field-Programmable Logic and Applications(FPL 2000),2000(1896):39-47.
    [86] Xilinx Inc.Xinlinx Enables Reconfiguable Computing with Free JBits Software for Use with Virtex-II FPGAs[DB/OL]. http://www.xilinx.com/prs_rls /end_markets/03108jbits.htm,1999
    [87] Anup Kumar Raghavan, Peter Sutton. JPG-A Partial Bitstream Generation Tool to Support Partial Reconfiguration in Virtex FPGAs[C].Proceedings of the 16thInternational Parallel and Distributed Processing Symposium,2002:155-160.
    [88] S.McMillan, S.Guccione.Partial Run-Time Reconfiguration Using JRTR[C]. Proceedings of the 10th International Conference on Programmable Logic and Applications(FPL2000), 2000:352-360.
    [89] E.Keller.JRoute:A Run-Time Rounting API for FPGA Hardware[C].7th Reconfigurable Architectures Workshop(RAW 2000), 2000:874-881.
    [90] Edsom L.Horta, John W.Lockwood. PARBIT:A Tool to Transform Bitfiles to Implement Partial Reconfiguration of Field Programmable Gate Arrays(FPGAs)[DB/OL]. http://www.arl.wustl.edu/arl/projects/fpx/parbit,2001.
    [91] K. Siozios, G. Koutroumpezis,et al .DAGGER: A Novel Generic Methodology for FPGA Bitstream Generation and its Software Tool Implementation[C]. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, 2005.
    [92] Jonathan Ballagh, Peter Athanas,et al. Java Debug Hardware Models using JBits[C]. Proceedings of the 8th Reconfigurable Architectures Workshop(RAW 2001),2001:1-8.
    [93] Steve Guccione, Delon Levi,et al. JBits:A Java based interface for reconfigurable computing[C]. 2nd Annual Military and Aerospace Applications of Programmable Devices and Technologies Conference. (MAPLD), 1999.
    [94] Steven A. Guccione, Delon Levi. JBits:A Java-Based Interface to FPGA Hardware[DB/OL]. http://www.io.com/~guccione/Papers/JBits/JBits.html,1998.
    [95] Vamsi Krishna Marreddy, Sharareh Noorbaloochi. Linear Placement for Static/Dynamic Reconfiguration in JBits[C].11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003:300-301.
    [96] Xilinx Inc.Xapp290, Two Flows for Partial Reconfiguration:Module Based or Differenc Based[DB/OL]. http://www.xilinx.com/bvdocs/appnotes/xapp290.pdf, 2004.
    [97] Xilinx Inc.Development System Reference Guide[DB/OL]. http://toolbox.xilinx.com/docsan/xilinx7/books/docs/dev/dev.pdf,2005.
    [98] http://www.internals.com
    [99] Yariv Kaplan.WinIo v2.0 Direct Hardware Access Under Windows9x/NT/2000/XP[J/OL].http://www.internals.com, 2000.
    [100] Carl Carmichael. Xapp 216, Correcting Single-Event Upsets ThroughVirtex Partial Configuration [DB/OL] . http://www. xilinx.com/bvdocs/ appnotes/ xapp216.pdf, 2000.
    [101] Maya Gokhale,Paul Graham,et al.Dynamic Reconfiguration for Management of Radiation-Induced Faults in FPGAs[C]. Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS'04), 2004:145--150.
    [102] Xilinx Inc.Xapp139,Configuration and Readback of Virtex FPGAs Using (JTAG) Boundary Scan [DB/OL], http://www.xilinx.com/bvdocs/appnotes/ xapp139.pdf , 2003.
    [103] Earl Fuller, Michael Caffrey,et al. Radiation Characterization, and SEU Mitigation, of the Virtex FPGA for Space-Based Reconfigurable Computing[C]. Proceedings of the IEEE Nuclear and Space Radiation Effects Conference (NSREC), 2000.
    [104] Peter Alfke ,Rick Padovani. Radiation Tolerance of High-Density FPGAs[C]. 1nd Annual Military and Aerospace Applications of Programmable Devices and Technologies Conference. (MAPLD), 1998.
    [105] M. Rebaudengo, M. Sonza Reorda,et al. Simulation-based analysis of SEU effects on SRAM-based FPGAs[C].International Conference on Field Programmable Logic and Application, 2002:607-615.
    [106] Michael Caffrey, Paul Graham,et al. Single-Event Upsets in SRAM FPGAs[C]. Military and Aerospace Applications of Programmable Logic and Devices( MAPLD), 2002.
    [107] Praveen Kumar Samudrala, Jeremy Ramos,et al. Selective Triple Modular Redundancy for SEU Mitigation in FPGAs[C].Military and Aerospace Applications of Programmable Logic and Devices (MAPLD) ,2003:1-3.
    [108] Xilinx Inc.Xapp 197. Carl Carmichael.Triple Module Redundancy Design Techniques for Virtex FPGAs[DB/OL] .http://www. xilinx.com/bvdocs/ appnotes/ xapp197.pdf, 2001.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700