用户名: 密码: 验证码:
基于硅通孔技术的三维集成电路设计与分析
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
计算机与信息技术的空前发展要求超大规模集成(Very Large Scale Integrated,VLSI)电路具有不断增强的功能与性能,同时又具有最低的价格与功耗。对VLSI电路进行激进缩小可以满足这一要求,但也导致了一个非常严重的问题,即互连传输延时与交互干扰噪声取代门延时,成为决定电路性能与功耗的关键因素。另外,随着芯片功能的增强,片内集成的晶体管数目急剧增加,体积与功耗持续上升,已经逼近二维(Two Dimensional,2-D)器件技术的极限,传统平面芯片的研发与生产都遭遇了难以克服的技术瓶颈。三维集成作为一种系统级架构的新方法,内部含有多个平面器件层的层叠,并经由硅通孔(Through Silicon Vis, TSV)在垂直方向实现相互连接,大幅度缩小芯片尺寸,提高芯片的晶体管密度,改善层间电气互联性能,提升芯片运行速度,降低芯片的功耗,成为未来纳米集成电路发展的重要趋势。本文从随机互连线长的分布模型入手,对片上系统(System on Chip,SoC)全局信号布线网络的设计约束进行了深入分析,定义了三维集成电路(ThreeDimensional Integrated Circuits,3-D ICs)全局互连设计空间;基于TSV电热模型,研究了TSV电热传输效应对互连传输延时、功耗密度与有源层温度分布特性的影响,提出了相应的设计优化方法;针对传统铜互连技术面临的物理局限性,提出将碳纳米管应用于3D ICs设计,并探讨了这一新型材料在未来纳米集成电路中应用特性。本文的主要研究成果如下:
     1.基于随机多层互连分布模型,对3-D ICs的互连线长分布进行合理预测。根据已有随机线长分布,分析了吉规模片上系统(Giga-scale SoC:GSoC)在布线面积需求、布线带宽要求与串扰噪声约束下的全局互连设计空间。通过对包含不同硅有源层的SoC全局设计空间的比较分析,从设计可靠性与电路性能的角度验证了3-D集成技术在吉规模互连电路设计中的巨大优势,为3-D集成技术应用于未来集成电路设计提供了有利的技术支持。
     2.分析了TSV电阻-电容(Resistance-Capacitance, RC)寄生效应对SoC互连性能及电路功耗影响,并推导了插入缓冲器的三维互连线延时与功耗的解析模型。对不同规模的互连电路模拟结果显示,TSV RC效应将导致互连延时平均增加10%,互连功耗密度平均提高21%;电路规模越小,TSV影响愈加显著。在3-D SoC前端设计中,包含TSV寄生参数的互连模型将有助于设计者更加精确地预测片上互连性能。
     3.分析了TSV物理尺寸与布局位置对异质3-D ICs的互连时序性能与信号完整性影响,并提出了一个同步改善互连延时与信号反射系数的TSV插入优化算法。与传统TSV等分连线布局算法的模拟比较结果显示,该TSV插入优化算法导致互连延时平均降低了49.96%,反射系数平均减小62.28%,且层间阻抗差异越大,延时优化效果愈加显著,它可以应用于未来3-D ICs的计算机辅助设计。
     4.采用一维等效热阻方程,建立了考虑TSV传导的3-D ICs热传输解析模型,并分析TSV密度与材质、散热片等效热阻、后端互连热导率及衬底厚度等设计因素对3-D芯片有源层温度分布特性的影响,提出改善散热片等效热阻的优化设计策略。仿真结果表明,降低散热片热阻是应对功耗密度与峰值温度急剧上升,保证3-D芯片可靠工作的有效方式。该热传输模型能够应用于3-D ICs早期电路开发与版图布局,为芯片设计者提供热设计参考与指导。
     5.对单壁碳纳米管束(Single Wall Carbon Nanotube, SWCNT bundle)连线的电阻-电感-电容(Resistance-Inductance-Capacitance, RLC)参数进行提取与分析,建立了与现有电路仿真软件相兼容的分布参数等效电路模型。在不同工艺节点下,对采用铜互连与SWCNT Bundle连线的3-D ICs各层互连线性能进行分析比较。Hspice仿真结果显示,SWCNT Bundle连线有效地降低了局部互连线延时,优化连线尺寸;对于包含层间TSV与插入缓冲器的中间层和全局互连线,SWCNT bundle连线的传输延时可以只达到铜互连的45.49%与51.84%。具有良好电热传输特性的碳纳米管是未来纳米集成电路互连线的一种很有前景材料。
The unprecedented development of computer and information technology isdemanding Very-Large-Scale-Integration (VLSI) circuits with increasing functionalityand performance at minimum cost and power consumption. The feature size oftransistor is being aggressively shrunk to meet this demand. However, in turn, this hasintroduced some very serious problems. Interconnect propagation delay and crosstalkdistortions replace the gate delay and come to be the dominant factor limiting overallperformance and power dissipation. Additionally, with the enhancement of the chipfunctionality, the number of integrated transistors is dramatically increased, the chipsize and power dissipation continually rise and has gradually approachedtwo-dimensional (2-D) device technology limit. The production and fabrication of thetraditional plane semiconductor process encounter the insurmountable technicalbottleneck. Three dimensional (3-D) or vertical integration is emerging as a promisingsolution that can form highly integration system by vertically stacking and providecommunication among device or functional blocks within an IC with inter-planethrough silicon via (TSV), thereby providing reduced chip size, high device integrationdensity, enhanced interconnectivity, high bandwidth and low power. This thesis embarkson the a stochastic wire length distribution model, establishes a global interconnectdesign window for gig scale3-D system on chip (GSoC) by evaluating the designconstraints of global signal network; Based on3-D TSV model, it analyzes the impactof TSV electro-thermal effect on3-D interconnect propagation delay, power density andactive-layers temperature distribution; To coop with the physical limitation of traditionCopper (Cu) interconnect, it investigates the feasibility of using Carbon Nanotubes(CNTs) for interconnects application in3-D ICs. The main studies and contribution ofthis dissertation are as follows.
     1. Based on a stochastic wire length distributed model, the interconnect distributionof3D ICs is predicted exactly. Using the results of this model, a global interconnectdesign window for gig scale SoC is established by evaluating the constraints of wiringresource, wiring bandwidth and wiring noise. In comparison to a2D IC, the designwindow expands for a3D IC to improve the design reliability and system performance,further supporting3D ICs application in future integrated circuits design.
     2. After analysis of the impact of TSV parasitic resistance-capacitance (RC)parameters on interconnect performance and circuit power dissipation, closed-formdelay and power consumption expressions for buffered interconnect used in3D IC are presented. Comparative results with3D net without TSV in various cases show thatTSV RC effect has huge impact on delay and power of3D ICs that leading to extraoverhead of on average10%for maximum delay and21%for power consumption.Therefore, it is crucial to correctly establish a TSV-aware3D interconnect model in3DICs front-end design.
     3. Analyzing the impact of TSV size and placement on the interconnect timingperformance and signal integrity, an approach for TSV insertion in3D ICs to minimizethe propagation delay with consideration to signal reflection is presented. Simulationresults demonstrate that our approach in generally can result in a49.96%improvementin average delay, a62.28%decrease in the reflection coefficient, and the optimizationfor delay can be more effective for higher non-uniform inter-plane interconnects. Theproposed approach can be integrated into the TSV-aware design and optimization toolsfor3-D circuits to enhance system performance.
     4. Based a one-dimensional (1-D) heat transfer equation, an analytical heat transfermodel for3D ICs incorporating TSV effect is developed. The impact of TSV insertion,heat sink thermal resistance, thermal conductivity of back of end line and substratethickness on the thermal performance of stacked3D ICs are analyzed, Simulationresults demonstrate heat sink thermal resistance improvement is a significant way tocope with the challenge in3D-ICs thermal management. The proposed heat transfermodel can be integrated into3D ICs early-stage design and layout tools to fully takeadvantage of the electrical benefits without significant exacerbation of the thermalmanagement challenge.
     5. Compact equivalent circuit models for Single-Walled Carbon Nanotubes(SWCNTs) are described, and the performance of SWCNT interconnects is evaluatedand compared with traditional Cu interconnects at different interconnect levels (local,intermediate, and global) for TSV based3-D ICs. It is shown that at local level, CNTbundle interconnects exhibit lower signal delay and smaller optimal wire size. Atintermediate and global levels, delay improvement becomes more significant withtechnology scaling and increasing wire lengths. For1mm intermediate and10mm globallevel interconnects, the delay of SWCNT bundles can reach45.49%and51.84%of thatof Cu wires, respectively.
引文
[1] G. Lapidus. Transistor family history. IEEE Spectrum,1997:34-35.
    [2] J. A. Davis and J. D. Meindl. Interconnect technology and design for gigascaleintegration. New York: Kluwer Academaic,2003.
    [3] Semiconductor Research AsSoCiate, San Jose, CA, International TechnologyRoadmap for semiconductors,1999.
    [4] J. D. Meindl. Interconnect opportunities for gigascale Integration. IEEE Micro,2003,23(3):28-35.
    [5] C. K. Cheng, J. Lillis, S. Lin and N. Chang. Interconnect analysis and synthesis.New York: Wiley,1999.
    [6] D. Edelstein, J. Heidenreich, R. Goldblatt and W. Cote. Full copper wiring in asub-0.25um CMOS ULSI technology, IEDM, Tech Dig,1997:773-776.
    [7] R. Venkatesan, J. A. Davis, K. A. Bowman and J. D. Meindl. Optimal n-tiermultilevel interconnect architecture for gigascale integration (GSI). IEEE Trans.Very Large Scale Integrated Systems,2001,9(6):899-912.
    [8] C. P. Che, H. Zhou and D. F. Wong. Optimal non-uniform wire sizing under theElmore delay model. Proceeding of the IEEE/ACM International Conference onComputer-Aided Design,1996:38-43.
    [9] K.Banerjee and A. Mehrotra. A power optimal repeater insertion methodology forglobal interconnects in nanometer designs,2002,49(11):2001-2007.
    [10]Semiconductor Research AsSoCiate, San Jose, CA, International TechnologyRoadmap for semiconductors,2006.
    [11]J. M. Zhang and E. G. Friedman. Crosstalk modeling for coupled RLCinterconnects with application to shield insertion. IEEE Trans. Very Large ScaleIntegrated Systems,2006,14(6):641-646.
    [12]B. R. Quinton,.M. R. Greenstreet and S. J. E. Wilton. Practical asynchronousinterconnect network design. IEEE Trans. Very Large Scale Integrated Systems,2008,16(5):579-588.
    [13]M. R. Stan and W. P. Burleson. Bus invert coding for low power I/O. IEEE Trans.Very Large Scale Integrated Systems,1998,3(1):49-58.
    [14]R. Bashirullah, L. Wentai and R. K. Cavin III. Current model signaling in deepsub-micrometer global interconnects. IEEE Trans. Very Large Scale IntegratedSystems,2003,11(3):406-417.
    [15]V. V. Deodhar and J. A. Davis. Optimization of throughput performance for lowpower VLSI interconnects. IEEE Trans. Very Large Scale Integrated Systems,2005,13(3):308-318.
    [16]H. Zhang, V. George and J. M. Rabaey. Low swing on chip signaling techniques:effectiveness and robustness. IEEE Trans. Very Large Scale Integrated Systems,2000,8(3):264-272
    [17]A. Jantsch and H. Tenhunen. Network on chip. Netherlands: Kluwer.2003.
    [18]G. Chen, H.Chen, M. Haurylau and E. G. Friedman. Predictions of CMOScompatible on chip optical interconnect. Integration, the VLSI Journal,2007,40(4):434-446.
    [19]V F. Pavlidis, E. G Friedman. Three-Dimensional Integrated Circuit Design.Burlington: Elsevier,2008.
    [20]K. Banerjee, J. S. Shukri, K. Pawan, and K. C. Saraswat.3-D ICs: A novel chipdesign for improving deep submicrometer interconnect performance and systemon chip integration. Proceeding of the IEEE,2001,89(5):602-633.
    [21]J. A. Davis. Interconnect limits on gigascale integration (GSI) in the21stcentury.Proceeding of the IEEE,2001,89(3):305-324.
    [22]J. A. Davis, V. K. De and J. D. Meindl. A stochastic wire-length distribution forgigascale integration (GSI)-part I and II. IEEE Trans. Electron Devices,1998,45(3):580-597.
    [23]H. Bakoglu and J. Meindl. A system level circuit model for multi-and single chipcpu’s. Digest of technical papers of the international solid state circuit conference,1988:308-309.
    [24]B. Landmann and R. Russo. On a pin versus block relationship for partition oflogic paths. IEEE Trans. Computers,1971,20(4):1469-1479.
    [25]P. Christie. A fractal analysis of interconnect complexity. Proceeding of the IEEE,1993,81(10):1492-1499.
    [26]W. Donath. Placement and average interconnects lengths of computer logic. IEEETrans. Circuit and Systems,1990,26(10):1492-1499.
    [27]J. W. Joyner, P. Z. Ha, J. A. Davis and J. D. Meindl. A three dimensionalstochastic wire-length distribution for variable separation of strata. Proceeding ofInterconnect Technology Conference,2000:126-128.
    [28]J. W. Joyner. Opportunies and limitation of three dimensional integration forinterconnect design. PhD thesis, Georgian Institute of Technology, June,2003.
    [29]J. Meindl. Low power microelectronics: Retrospect and prospect. Proceeding ofthe IEEE,1995,83(5):619-635.
    [30]J. W. Joyner, P. Z. Ha, and J. D. Meindl. Global interconnect design in athree-dimensional system-on-a-chip. IEEE Transaction on Very Large ScaleIntegration Systems,2004,12(4):367-372.
    [31]G. Chen and E G. Friedman. Low-power repeater Driving RC and RLCinterconnect with delay and bandwidth constraints. IEEE Transactions on VLSISystem,2006,14(2):161-172.
    [32]Berkeley Predictive Technology Model.
    [33]王颀,单智阳,朱云涛,邵丙铣.串扰约束下超深亚微米顶层互连线性能的优化设计.电子学报,2006,34(2):214-219.
    [34]T. Sakurai. Closed form expressions for interconnect delay, coupling, andcrosstalk in VLSIs. IEEE Transaction on Electron Device,1993,40(1):118-124.
    [35]Semiconductor Research AsSoCiate, San Jose, CA, International TechnologyRoadmap for semiconductors,2007.
    [36]A. W. Topol, D. C. La, L. Shi and G. M.Leong. Three dimensional integratedcircuits. IBM Journal of Research and Development,2006,50(4.5):491-506.
    [37]R. S. Patti. Three dimensional integrated circuits and the future of system on chipdesigns. Proceeding of the IEEE,2006,94(6):1214-1224.
    [38]B. David.3D TSV development Semiconductor European, July,2007.
    [39]S. D. Cho. Technical challenges in TSV integration to Si. Sema-Tech SymposiumKorea, Oct,2011.
    [40]J. F. Li. Introduction to3-D integration technology using TSV. Talking onASP-DAC, Mar.,2010.
    [41]M. Puech, J. M. Thevenoud, and P. Godinat. Fabrication of3-D packing TSVusing DRIE Alcat Micro Maching Systems,2007.
    [42]童志义.3D IC集成与硅通孔互连.电子工业专用设备.2009,3:27-34.
    [43]A. Jindal, J. Q. Lu, and Y. Kwon. Wafer thinning for monolithic3-D integration.MRS Spring Meeting, Apr.2003.
    [44]J. Q. Lu, K. Rose and S. Vitkavage.3D integration: why, what, who, when?Future FAB International,2009,23:27-29.
    [45]P. Y. Chen, C. W. Wu and D. M. Kwai. On-chip TSV testing for3D IC beforebonding using sense amplification. Asian Test Symposium,2009:450-455.
    [46]X. Chuan, L. Hong, R. Suaya and K.Banerjee. Compact AC modeling andperformance analysis of through silicon vias in3-D ICs. IEEE Trans. ElectronDevices,2010,57(12):3405-3417.
    [47]S. H.Hall, G. W. Hall and J.A. McCall. High speed digital systems design.Cambridge: John Wiley&Sons,2000.
    [48]G. Katti, M. Stucchi, K. D. Meyer and W. Dehaene. Electrical modeling andcharacteristic of through silicon via for three dimensional ICs. IEEE Trans.Electron Devices,2010,57(1):256-262.
    [49]I. Savidis and E. G. Friedman. Electrical characterization and modeling of3-Dvias. Proceeding of the IEEE international symposium on Circuit and Systems,2008:2422-2425.
    [50]I. Savidis and E. G. Friedman. Closed form expressions of3-D via resistance,inductance, and capacitance. IEEE Trans. Electron Devices,2009,56(9):1873-1881.
    [51]R. Pucel. Gallium Arsenide Technology. Indianapolis, India: Sam,1985.
    [52]R. Venkatesan, J. A. Davis, K. A. Bowman and J.D. Meindl. Minimum powerand area n-tie multilevel interconnect architectures using optimal repeaterinsertion. International Symposium on Components, Circuits, Devices andSystems,2000:167-172.
    [53]Y. I. Ismail, E. G. Friedman. Optimum repeater insertion based on a CMOS delaymodel for on-chip RLC interconnect. IEEE international ASIC conference,1998:369-373.
    [54]H. Shah, P. Shiu, and J. A. Davis. Repeater insertion and wire sizing optimizationfor through centric VLSI global interconnect. IEEE/ACM international conferenceon Computer Aided Design,2002:280-284.
    [55]D. H. Kim, S. K. Lim. Through-silicon-via-aware delay and power predictionmodel for buffered interconnects in3D ICs. Proceeding of the12th InternationalWorkshop on System Level Interconnect Prediction,2010:25-35.
    [56]S. Sahoo, M. Datta and R. Kar. An efficient dynamic power estimation methodfor on-chip VLSI interconnects. International conference on Emergingapplications of information technology,2011:379-382.
    [57]H. Bakoglu. Circuit, Interconnections, and packaging for VLSI. Reading Mass:Addison-Wesley,1990.
    [58]朱樟明,钟波,郝报田,杨银堂.一种考虑温度的分布式互连线功耗模型.物理学报,2009,58(10):7124-7129.
    [59]J. A. Davis and J. D. Meindl. Interconnect Technology and Design for Gig scaleIntegration Netherlands: Springer,2003.
    [60]NCSU FreePDK45nm. http://www.eda.ncsu.edu/wiki/FreePDK
    [61]W.C Elmore. The transient response of damped linear network with particularregard to wideband amplifiers. Journal of Applied Physics,1948,19:55-63.
    [62]A. Seido, B. Nowak and C. Chu. Fitted Elmore delay: a simple and accurateinterconnect delay model. IEEE international conference on computer design,2002:422-427.
    [63]J. G. Ecker. Geometric programming: methods, computations and applications.SIAM Review,1980,22(3):338-362.
    [64]R. T. Zhang, K. Roy, and C. K. Koh, Stochastic interconnect modeling, powertrends, and performance characterization of3-D circuits. IEEE Trans. ElectronDevice,2001,48(4):638-652.
    [65]V. F. Pavlidis and E. G. Friedman. Interconnect delay minimization throughinterlayer via placement. Proceeding of the ACM Great Lakes Symposium onVLSI,2005:20-25.
    [66]V. F. Pavlidis and E. G. Friedman. Via placement for minimum interconnectdelay in three-dimensional circuits. Proceeding of IEEE International Symposiumon Circuit and Systems,2006:4587-4590.
    [67]S. W. Tu, W. Z. Shen, Y. W. Chang and T. C. Chen. Inductance modeling foron-chip interconnects. IEEE international symposium on circuit and systems,2002:787-790.
    [68]K. Gala, D. Blaauw, and A. Joshi. Inductance model and analysis methodologyfor high speed on chip interconnect. IEEE Trans Very Large Scale IntegrationSystems,2002,10(6):730-745.
    [69]M. A. El-Moursy and E. G. Friedman. Shielding effect of on chip interconnectinductance. IEEE Trans. Very Large Scale Integration Systems,2005,13(3):396-400.
    [70]Y. I. Ismail, E. G. Friedman and L. Jose. Figure of merit to characterize theimportance of on chip inductance. Proceeding of35thdesign automationconference,1998:560-565.
    [71]Y. I. Ismail and E. G. Friedman. Effect of inductance on the propagation delayand repeater insertion in VLSI circuits. IEEE Trans. Very Large Scale IntegrationSystems,2000,8(2):199-206.
    [72]M. Avriel. Nonlinear Programming: Analysis and Methods. New York: Dover,2003.
    [73]B. Bogatin. Signal Integrity: Simplified. Kansas: Prentice Hall PTR,2003.
    [74]Z. W. Shen and J. Tong. Signal integrity analysis of high speed single-ended anddifferent vias. Electronics packaging technology conference,2008:65-70.
    [75]C. Liu and S. K. Lim. A study of signal integrity issues in through silicon viabased3D ICs. Interconnect Technology Conference,2010:1-3.
    [76]K. S. Krishna, M. S. Bhat. Minimization of via induced signal reflection in onchip high speed interconnect lines. Circuit Systems and Signal Processing.2012,31(2):689-702.
    [77]Z. Xu, X. H. Jiang, and S. Horiguchi. Redundant vias insertion for performanceenhancement in3D ICs. IEICE Trans. Electron,2008,91(4):571-580.
    [78]K. Y. Lee, T. C. Wang, and K. Y. Chao. Post routing redundant via insertion andline extension with via density consideration. ACM/IEEE InternationalConference on Computer-Aided Design,2006:633-640.
    [79]J. T. Yan, Z. W. Chen, B. Y. Chiang, and Y. M. Lee. Timing constrained yielddriven redundant via insertion. IEEE Asia Pacific Conference on Circuits andSystems,2008:1688-1691.
    [80]W. S. Zhao, X. P. Wang and W. Y. Yin. Electro-thermal effects in high densitythrough silicon arrays. Progress in Electro-magnetic research,2011,115:223-242.
    [81]M. B. Kleiner, S. A. Kuhn, P. Ramm, and W. Weber. Thermal analysis ofvertically integrated circuits. IEDM Tech. Dig.,2002:487-490.
    [82]S. J. Im and K. Banerjee. Full chip thermal analysis of planar (2-D) and verticallyintegrated (3-D) high performance ICs. IEDM Tech. Dig.,2000:727-730.
    [83]P. Y. Huang, and Y. M. Lee. Full chip thermal analysis for the early design stagevia generalized integral transforms. IEEE Trans. Very Large Scale IntegrationSysemst,2009,17(5):613-626.
    [84]A. Rahman, and R. Reif. Thermal analysis of three-dimensional (3-D) integratedcircuits (ICs). Proc. IITC,2001:157-159.
    [85]T. Y. Chiang, S. J. Souri, C. O. Chui, and K. C. Saraswat. Thermal analysis ofheterogeneous3D ICs with various integration scenarios. Int. Electron devicemeeting,2001:681-684.
    [86]M. Ni, Q. Su, Z. W. Tang, and J. Kawa. An analytical study on the role of thermalTSVs in3DIC chip stack. in Proc. Des. Auto. Test Conf. in Europe,2010:201-208.
    [87]H. Xu, V. F. Pavlidis, and M. G. De. Analytical heat transfer model for thermalthrough silicon vias. in Proc. Des. Auto. Test Conf. in Europe,2011:1-4.
    [88]ANSYS User Manual. http://www.ansys.com/
    [89]S. G. Singh, and S. T, Chuan. Impact of thermal through silicon via on thetemperature profile of multi-layer3-D device stack. IEEE Int. Conf.3D IC,2009:1-4.
    [90]J. H. Lan and T. G. Yue. Thermal management of3D IC integration with TSV. inProc. IEEE Elec. Comp. Tech. Conf.,2009:635-640.
    [91]A. Jain, R. E. Jones, R. Chatterjee, S. Pozder, and H. Zhihong. Thermal modelingand design of3D integrated circuits. Thermal and thermo-mechanical phenomenain electronic systems,2008:1139-1145.
    [92]Y. Zhang, C. R. King, J. Zavier, and Y. J. Kim. Couple electrical and thermal3DIC centric micro fluidic heat sink design and technology. in Proc. IEEE Elec.Comp. Tech. Conf.,2011:2037-2044.
    [93]Y. C. Chang, Y. W. Chang, G. M.Wu, S. W. Wu. B trees: a new representationfor non-slicing floor plans. Design Automation Conference,2004:458-463.
    [94]W. L. Huang, G. M. Link, Y. Xie, N. Vijaykrishnan and M. J. Irwin. Interconnectand thermalaware floor-planning for3D microprocessor. Proceeding ofSymposium on Quality of Electronic design,2006:98-104.
    [95]王伟,张欢,方芳,陈田,刘军,李欣,邹毅文.一种协同考虑过通孔和热量的三维芯片布图规划算法.电子学报,2012,40(5):971-976.
    [96]G. L. Lin, Z. Y. Li, Q. Zhou and X. L. Hong.3D placement algorithm withvertical via constraints. Proceeding of Communication, circuits and systems.2005:12-15.
    [97]T. F. Gonzalez, S. K. Gowdra. An approximation algorithm for the via placementproblem. IEEE Trans. Computer aided design of integrated circuits and systems,1989,8(3):219-228.
    [98]S. Das, A. Chandrakasan, and R. Reif. Design tool for3D integrated circuits.Proceeding of the conference on ASPDAC,2003:53-58.
    [99]S. Das, A. Fan, and K. N. Chen. Technology, performance, and computer aideddesign of three dimensional integrated circuits. Thermal via placement in3D ICs.Proceeding of the international symposium on physical design,2004:108-115.
    [100]S. Das. Design automation and analysis of three dimensional integrated circuits.Massachusetts Institute of Technology,2004.
    [101]X. Y. Dong, J. S. Zhao and Y. Xie. Fabrication cost analysis and cost awaredesign space exploration for3D ICs. IEEE Trans. Computer aided design ofintegrated circuits and systems,2010,29(12):1959-1972.
    [102]徐宁,洪先龙.超大规模集成电路物理设计理论与算法.北京:清华大学出版社,2009:241-253.
    [103]J. Cong, Y. Zhang. Thermal driven multilevel routing for3D ICs. Proceeding ofthe conference on ASPDAC,2005:121-126.
    [104]B. Goplen and S. S. Sapatnekar. Efficient thermal placement of standard cells in3D ICs using a force directed approach. Proceeding of international conference oncomputer aided design,2003:86-90.
    [105]B. Goplen and S. S. Sapatnekar. Thermal via placement in3D ICs. Proceedingof the international symposium on physical design,2005:167-174.
    [106]S. M. Rossnagel and T. S. Kuan. Alteration of Cu conductivity in the size effectregime.
    [107]R.L. Graham, G. B. Alers, and T. Mountsier. Resistivity dominated by surfacescattering in sub-50nm Cu wires. Applied physics letters,2010,96(4):1161-163.
    [108]J. Li. Bottom up approach for carbon nanotube interconnects. Applied physicsletters,2003.
    [109]T. Wang, K. Jeppson, L. L. Ye and J. Liu. Carbon nanotube through silicon viainterconnect for three dimensional integration.2011, Small,7(16):2313-2317.
    [110]B. Q. Wei, R. Vajtai and P. M. Ajayan. Reliability and current carrying capacityof carbon nanotubes. Applied physics letters,2001,79(8):1172-1174.
    [111]P. J. Burke. Luttinger liquid theory as a model of the gigahertz electricalproperties of carbon nanotubes. IEEE Trans. Nanotechnology,2002,1(3):129-144.
    [112]P. L. McEuen, M. S. Fuhrer, and H. K. Park. Single-walled carbon nanotubeelectronics. IEEE Trans. Nanotechnology,2007,79(8):1172-1174.
    [113]N. H. Khan, and S. Hassoun. The feasibility of carbon nanotubes for powerdelivery in3D integrated circuits. Asia and South Pacific Design and AutomationConference,2012:53-58.
    [114]A. Naeemi and J. Meindl. Design and performance modeling for single walledcarbon nanotubes as local, semiglobal and global interconnects in giga-scaleintegrated circuits. IEEE Trans. Electron Devices,2007,54(1):26-37.
    [115]A. Raychowdhury and K. Roy. Modeling of metallic carbon nanotubeinterconnects for circuit simulation and a comparison with Cu interconnects forscale technologies. IEEE Trans. Computer aided design of integrated circuits andsystems,2006,25(1):58-65.
    [116]H. Li, W. Y. Yin, K. Banerjee, and J. F. Mao. Circuit modeling and performanceanalysis of multi-walled carbon nanotube interconnects. IEEE Trans. ElectronDevices,2008,55(6):1328-1337.
    [117]J. F. Xu, H. Li, W. Y. Yin, J. F. Mao and L. W. Li. Extraction of threedimensional interconnects using element by element finite element method andpreconditioned conjugate gradient technique. IEICE Trans. Electronics,2007,90(1):179-188.
    [118]C. Yu, L. Shi, Z. Yao, D. Li and A. Majumdar. Thermal conductance andthermopower of an individual single wall carbon nanotube. Nano letters,2005,5(9):1842-1846.
    [119]S. Berber. Unusually high thermal conductivity of carbon nanotubes. Physicsreview letters,2000,84(20):4613-4616.
    [120]J. Hone, M. Whitney, C. Piskoti and A. Zettl. Thermal conductivity of singlewalled carbon nanotubes. Physical Review B.1999,59(4):2514-2520.
    [121]T. Hunger, B. Lengeler and J. Appenzeller. Transport in Ropes of carbonnanotubes: contact barriers and luttinger liquid theory. Physical Review B,2004,69:195406.
    [122]S. Datta. Electronic transport in Mesoscopic systems. U. K: CambridgeUniversity,1995.
    [123]K. H. Koo, H. Cho, P. Kapur, and K. C. Saraswat. Performance comparisonbetween carbon nanotubes, optical, and Cu for future high performance on chipinterconnect application. IEEE Trans. Electron Devices,2007,54(12):3206-3215.
    [124]李宏.碳纳米管在纳米集成电路互连线中的应用研究.上海交通大学硕士学位论文,2008.
    [125]A. Naeemi, R. Sarvari, and J. Meindl. Performance comparison between carbonnanotube and copper interconnect for gigascale intergration (GSI). IEEE Trans.Electron Device Letters,2005,26(2):,84-86.
    [126]H. Cho, K. H. Koo, P. Kapur, and K. C. Saraswat. Performance comparisonbetween Cu/Low-k carbon nanotube, and optics for future on chip interconnects.IEEE Electron Device Leters,2008,29(1):122-124.
    [127]N. Srivastava and K. Banerjee. Performance analysis of carbon nanotubeinterconnects for VLSI application. In Proc. IEEE/ACM Int. Conf.Comput.-Aided Des.,2005:383-390.
    [128] B. C. Kim, S. Kannan, A. Gupta, F. Mohammed and B. Ahn. Development ofcarbon nanotube based through silicon vias. Journal of Nanotechnology inEngineering and Medicine,2010,1(2):17-28.
    [129]Semiconductor Research AsSoCiate, San Jose, CA, International TechnologyRoadmap for semiconductors,2009.
    [130]A. R. Kuznetsov, K. Hewaparakrama, S. M.Kim, D. Zakharov, E. A. Stach, andG. U. Sumanasekera. Preferential growth of signle walled carbon nanotubes withmetallic conductivity. Science2009,326(5949):116-126.
    [131] H.Xu, V. F. Pavlidis, and G. Micheli. Repeater insertion for two-terminal netsin three dimensional integrated circuits. Proc.4thConf. NanoNet,2009:141-150.
    [132] F. Liang, G. F. Wang and W. Ding. Estimation of time delay and repeaterinsertion in multiwall carbon nanotube interconnects. IEEE Trans. ElectronDevices2011,58(8):2712-2723.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700