用户名: 密码: 验证码:
10纳米以下图形电子束曝光的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
电子束曝光是目前分辨率最高、使用最灵活的纳米加工技术,在纳米电子学、纳米光学、纳机械系统等领域具有广泛的应用。同时,随着集成电路的关键尺寸进入到22 nm节点,电子束曝光技术在整个半导体制造领域扮演着越来越重要的角色。
     追求更高的分辨率是电子束曝光研究的核心内容。本论文从电子束曝光的基本原理出发测量了不同电压的点扩散函数并进行了蒙特卡洛模拟研究,为研究电子束曝光的分辨率极限与邻近效应校正提供了基础。进而分析了电子束曝光的分辨率、效率、结构均匀度之间的关系以及它在大规模应用中所面临的困难,提出了解决困难的可行办法。
     本论文对高分辨电子束曝光的工艺和分辨极限开展了研究,得到了9 nm周期宽度的纳米结构。进一步利用透射电子显微镜和原子力显微镜对电子束曝光制作的纳米结构进行了精确测量,发现曝光的分辨率极限对稀疏的结构可以达到4nm的特征尺寸,而对于密集型结构,16 nm周期宽度的结构无法完全分辨。通过对电子束扩散函数与显影对比度的分析,本文认为电子束曝光的分辨极限与显影液在纳米尺度下的扩散限制有关。
     电子束曝光虽然具有极高的分辨率,但它面临在纳米尺度下图形转移的困难。本文为此提出了利用电子束曝光和辐照直接制作功能纳米结构。以超细PMMA纳米纤维作为前驱物,在高分辨透射电子显微镜下原位地研究了聚合物在电子束辐照下分解、碳化和石墨化的过程,分别制作出石墨烯纳米带、类富勒烯以及石墨尖等纳米结构。利用电子束过量曝光PMMA结合退火过程得到了图形化的石墨纳米结构。
     高分辨电子束曝光同时面临效率低、邻近效应、辐照损伤的困难。为了克服这些困难,本文发展了一种毛细力自组装方法,可控地将电子束曝光定义的高深宽比纳米结构组装成复杂的平面结构或者三维结构从而提高电子束曝光的效率、减少邻近效应和消除辐照损伤。
     本论文通过对10 nm以下电子束曝光的基础研究,不仅解决了高分辨电子束曝光中的几个关键问题,而且推动了国内外电子束曝光的发展,并对高分辨电子束曝光的应用具有极大的指导意义。
High-resolution electron-beam lithography has many applications in nanoelectronics, nanophotonics, and nanoelectromechanical systems. As ultra-large-scale integration circuits is approaching to 22 nm technology node and beyond, electron-beam lithography plays a more and more important role in semiconductor manufacturing. Approaching higher resolution is the critical issue for electron-beam lithography.
     In this dissertation, we measured point-spread function experimentally and did Monte-Carlo simulation for different-voltage exposures, which can be used to study the resolution limits and correct the proximity effect of electron-beam lithography. We analyzed the trade-off between resolution, efficiency, and structure uniformity. Furthermore, we discussed the difficulties of ultra-high-resolution electron-beam lithography for applications and proposed possible ways to solve them.
     With optimized exposure and development processes,9-nm-pitch hydrogen silsesquioxane (HSQ) nanostructures were fabricated. By using high-resolution transmission electron microscopy and atomic force microscopy, we found that 4 nm structures could be readily fabricated in sparse patterns but 16-nm-pitch structures was difficult to yield in dense structures. By analyzing the spread function and development contrast curve, we hypothesized that the resolution limit of electron-beam lithography is primarily limited by developer-diffusion.
     One of difficulties of high-resolution electron-beam lithography is pattern transferability. We proposed to fabricate functional nanostructures directly by electron-beam lithography and irradiation. By using ultrathin electrospun PMMA nanofibers as the precursor, we studied the decomposition, carbonization, and graphitization processes of a polymer by in situ high-resolution transmission electron microscopy and fabricated graphene nanoribbons, fullerene-like nanostructures, and graphitic nanotips. By using this method, patterned graphitic nanostructures were fabricated by electron-beam lithography combined with thermal treatment.
     To overcome low efficiency, proximity effect, and irradiation damage of electron-beam lithography, we developed a new self-assembly method based on capillary-force-induced cohesion and collapse. With this method, sparse high-aspect-ratio nanostructures were self-assembled into complex networks or three-dimensional structures to improve the efficiency, decrease the proximity effect, and avoid irradiation damage of electron-beam lithography.
     The research in this dissertation solved several critical issues for high-resolution electron-beam lithography, which will have many applications in developing nanodevices.
引文
[1]Gordon E. Moore, Cramming more components onto integrated circuits, Electronics 38, 114(1965).
    [2]Intel website: http://www.intel.com/pressroom/archive/releases/2007/20070328fact.htm
    [3]ITRS website:http://www.itrs.net/Links/2009ITRS/Home2009.htm
    [4]Double patterning:http://en.wikipedia.org/wiki/Double_patterning
    [5]E. S. Putna, EUV lithography for 30nm half pitch and beyond:exploring resolution, sensitivity, and LWR tradeoffs, Proc. SPIE 7273,1L (2009).
    [6]M. J. Madou, Fundamentals of microfabrication:the science of miniaturization (?) pp.49, (2002).
    [7]H. H. Solak, C. David, J. Gobrecht, V. Golovkin, F. Cerrin, S. O. Kim and P. F. Nealey, Sub-50 nm period patterns with EUV interference lithography, Microelectronic Engineering, 67-68,56 (2003).
    [8]I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, and K. K. Berggren, Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates, Science 321,939 (2008).
    [9]J. K. W. Yang, Y. S. Jung, J. B. Chang, R. A. Mickiewicz, A. Alexander-Katz, C. A. Ross and K. K. Berggren, Complex self-assembled patterns using sparse commensurate templates with locally varying motifs, Nature Nanotechnology 5,256 (2010).
    [10]H. Schift, Nanoimprint lithography:An old story in modern times? A review, J. Vac. Sci. Technol. B 26, pp.458 (2008).
    [11]L. J. Guo, Nanoimprint Lithography:Methods and Material Requirements, Adv. Mater. 19,495 (2007).
    [12]D. Winston, B. M. Cord, B. Ming, D. C. Bell, W. F. DiNatale, L. A. Stern, A. E. Vladar, M. T. Postek, M. K. Mondol, J. K. W. Yang, and K. K. Berggren, Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist, J. Vac. Sci. Technol. B 27,2702 (2009).
    [13]R. D. Piner, J. Zhu, F. Xu, S. Hong, C. A. Mirkin, "Dip-Pen" Nanolithography, Science 283,661(1999).
    [14]B. D. Gates, Q. B. Xu, M. Stewart, D. Ryan, C. Grant Willson, and G. M. Whitesides, New Approaches to Nanofabrication:Molding, Printing, and Other Techniques, Chem. Rev. 105,1171(2005).
    [15]J. K.W. Yang, B. Cord, H. G. Duan, K. K. Berggren et al., Understanding of Hydrogen Silsesquioxane Electron Resist for Sub-5-nm-Half-Pitch Lithography, J. Vac. Sci. Technol. B 27,2622 (2009).
    [16]MAPPER Lithography:http://www.mapperlithography.com
    [17]J. J. H. Chen, S. J. Lin, T. Y. Fang, S. M. Chang, F. Krecinic, and B. J. Lin, Multiple electron beam maskless lithography for high-volume manufacturing, International Symposium on VLSI Technology, Systems, and Applications,2009.
    [18]B. D. Terris, Fabrication challenges for patterned recording media, J. Magn. Magn. Mater.321,512 (2009).
    [19]E. A. Dobisz, Z. Z. Bandic', T. Wu, and T. Albrecht, Patterned Media:Nanofabrication Challenges of Future Disk Drives, Proceedings of the IEEE 96,1836 (2008).
    [20]M. Qi, E. Lidorikis, P. T. Rakich, S. G. Johnson, J. D. Joannopoulos, E. P. Ippen, and H. I. Smith, A three-dimensional optical photonic crystal with designed point defects, Nature 429, 538 (2004).
    [21]S. A. Maier, M. L. Brongersma, P. G. Kik, S. Meltzer, A. A. G. Requicha, H. A. Atwater, Plasmonics-A Route to Nanoscale Optical Devices, Adv. Mater.13,1501 (2001).
    [22]A. Hohenau, H. Ditlbacher, B. Lamprecht, J. Krenn, A. Leitner, F. Aussenegg, Electron b eam lithography, a helpful tool for nanooptics, Microelectronic Engineering 83,1464 (2006).
    [23]A. K. Geim, S. V. Dubonos, I. V. Grigorieva, K. S. Nonoselov, A. A. Zhukov, and S. Yu, Shapoval, Microfabricated adhesive mimicking gecko foot-hair, Nature Materials 2,461 (2003).
    [24]顾文琪.电子束曝光微纳加工技术.北京:北京工业大学出版社,2004.
    [25]魏淑华,宋志,张今朝,韩立.电子束曝光控制软件系统设计与实现.微细加工技术,6,1(2007).
    [26]P. J. Hesketh (ed.), BioNanoFluidic MEMS. Springer 2008, Chapter 3:Nanolithography (Written by Raghunath Murali)
    [27]J. Fujita, Y. Ohnishi, Y. Ochiai, and S. Matsui, Ultrahigh resolution of calixarene negative resist in electron beam lithography, Appl. Phys. Lett.68 (9),1297 (1996).
    [28]M. S. M. Saifullah, K. R. V. Subramanian, E. Tapley, D.-J. Kang, M. E. Welland, and M. Butler, Sub-10 nm electron beam nanolithography using spin-coatable TiO2 resists, Nano Lett. 3(11),1587(2003).
    [29]K. R. V. Subramanian, M. S. M. Saifullah, E. Tapley, D. J. Kang, M. E. Welland and M. Butler, Direct writing of ZrO2 on a sub-10 nm scale using an electron beam, Nanotechnology 15,158(2004).
    [30]A. Muray, M. Isaacson, and I. Adesida, A1F3-A new very high resolution electron beam resist, Appl. Phys. Lett.45 (5),589 (1984).
    [31]E. Kratschmer and M. Isaacson, Nanostructure fabrication in metals, insulators, and semiconductors using self-developing metal inorganic resist, J. Vac. Sci. Technol. B 4,361 (1986).
    [32]S. J. Randolph, J. D. Fowlkes, and P. D. Rack, Focused, Nanoscale Electron-Beam-Induced Deposition and Etching, Critical Reviews in Solid State and Materials Sciences 31, 55 (2006).
    [33]N. Rau, F. Stratton, C. Fields, T. Ogawa, A. Neureuther, R. Kubena, and G. Willson, Shot-noise and edge roughness effects in resists patterned at 10 nm exposure, J. Vac. Sci. Technol. B 16,3784 (1998).
    [34]L. D. Jackel, R. E. Howard, P. M. Mankiewich, H. G. Craighead, and R. W. Epworth, Beam energy effects in electron beam lithography:The range and intensity of backscattered exposure, Appl. Phys. Lett.45,698 (1984).
    [35]Y. F. Chen, H. F. Yang, and Z. Cui, Effects of developing conditions on the contrast and sensitivity of hydrogen silsesquioxane, Microelectronic Engineering 83,1119 (2006).
    [36]X. M. Yang, S. G. Xiao, W. Wu, Y. Xu, K. Mountfield, R. Rottmayer, K. Lee, D. Kuo, and D. Weller, Challenges in 1 Teradot/in.2 dot patterning using electron beam lithography for bit-patterned media, J. Vac. Sci. Technol. B 25,2202 (2007).
    [37]V. Sidorkin, V. Sidorkin, E. van der Drift, P. Alkemade, and H. Salemink, Sub-10-nm nanolithography with a scanning helium beam, J. Vac. Sci. Technol. B 27, L18 (2009).
    [38]K. Harry, H. G. Duan, J. Y. K. Yang, S. Strobel, V. Manfrinato, K. K. Berggren, Electrochemical Development of Hydrogen Silsesquioxane (submitted to EIPBN 2010)
    [39]V. Auzelyte, A. Langner, and H. H. Solak, Thermal development of a calixarene resist, J. Vac. Sci. Technol. B 27,2990 (2009).
    [40]M. Isaacson and A. Murray, In situ vaporization of very low molecular weight resists using 1/2 nm diameter electron beams, J. Vac. Sci. Technol.19,1117 (1981).
    [41]T. H. P. Chang, Proximity effect in electron beam lithography, J. Vac. Sci. Technol.12, 1271 (1975).
    [42]刘明,谢常青,王丛舜.微细加工技术.北京:化学工业出版社,2004.
    [43]J. Jacob, S. Lee, J. McMilan, N. MacDonald, Fast proximity effect correction:An extension of PYRAMID for circuit patterns of arbitrary size. J. Vac. Sci. Technol. B,10, 3077(1992).
    [44]G. Owen, P. Rissman, Proximity effect correction for electron beam lithography by equalization of background dose. J. Appl. Phys.54,3573 (1983).
    [45]陈宝钦,微光刻与微纳米加工技术(半导体科学与技术第九章,科学出版社2007,何杰夏建白编辑)
    [46]J. K. W. Yang, K. K. Berggren et al. (unpublished work)
    [47]B. Cord, J. Yang, H. G. Duan, D. C. Joy, J. Klingfus, and K. K. Berggren, Limiting Factors in Sub-10-nm Scanning-Electron-Beam Lithography, J. Vac. Sci.and Technol. B 27, 2616 (2009).
    [48]S. Knight, R. Dixson, R. L. Jones, E. K. Lin, N. G. Orji, R. Silver, J. S. Villarrubia, A. E. Vladar, and W. L. Wu, Advanced metrology needs for nanoelectronics lithography, Comptes Rendus Physique 7,931 (2006).
    [49]M. L. Schattenburg and H. I. Smith, The critical role of metrology in nanotechnology, Proc. SPIE 4608,1 (2001).
    [1]R. Browning, T. Eimori, E. P. Traut, et al, An elastic cross section model for use with Monte Carlo simulation of low energy electron scattering from high atomic number targets. J. Vac. Sci. Technol. B 9,2578 (1991).
    [2]B. Wu, A. R. Neureuther, Energy deposition and transfer in electron-beam lithography, J. Vac. Sci. Technol. B 19,2508 (2001).
    [3]R. Shimizu, Secondary electron yield with primary electron beam of kilo-electron-volt, J. Appl. Phys.45,2107 (1974).
    [4]B. Cord, J. Yang, H. G. Duan, D. C. Joy, J. Klingfus, and K. K. Berggren, Limiting Factors in Sub-10-nm Scanning-Electron-Beam Lithography, J. Vac. Sci. Technol. B 27,2616(2009).
    [5]M. Parikh, D. F. Kyser. Energy deposition functions in electron resist films on substrates, J. Appl. Phys.50,1104 (1979).
    [6]N. Silvis-Cividjian, C. Hagen, L. Leunissen, P. Kruit, The role of secondary electrons in electron-beam-induced-deposition spatial resolution, Microelectronic Engineering, Vol.61-62,693 (2002).
    [7]K. Murata, D. F. Kyser, and C.H. Ting, Monte Carlo simulation of fast secondary electron production in electron beam resists, J. Appl. Phys.52,4396 (1981).
    [8]J. S. Greeneich., T. V. Duzer. An exposure model for electron-sensitive resists, IEEE Trans. On Electron Devices 21,286 (1974).
    [9]D. F. Kyser, K. Murata. Monte Carlo simulation of e-beam scattering and energy loss in thin film on thick substrate. Proceedings of the 6th International Conference on Electron and Ion Beam Science and Technology, ed. R.Bakish,1974.
    [10]T. H. P. Chang, Proximity effect in electron beam lithography, J. Vac. Sci. Technol.12,1271 (1975).
    [11]S. J. Wind, M. G. Rosenfield, G. Pepper, et al. Proximity correction for electron beam lithography using a three-Gaussian model of the electron energy, J. Vac. Sci. Technol. B 7,1507(1989).
    [12]D. Schefzyk, D. Biesinger, D. Wharam, Extraction of the point-spread function in electron-beam lithography using a cross geometry, Microelectronic Engineering 87, 1091 (2009).
    [13]M. Haslam, J. McDonald, An image fidelity approach to measuring the point spread function in electron and ion beam lithographies, Microelectronic Engineering, 5,491(1986).
    [14]R. H. Fastenau, K. M. Monahan, D. F. Kyser, and S. Phelps, Electron beam point spread function determination with a confocal scanning laser microscope, J. Vac. Sci. Technol.B 7,1933(1989).
    [15]R. Menon, D. Gil, and H. I. Smith, Experimental characterization of focusing by high-numerical-aperture zone plates, J. Opt. Soc. Am. A 23,567 (2006).
    [16]L. Battistella, D. Winston, K. K. Berggren, et al, Experimental PSF down to sub-10 nm scale (submitted).
    [17]魏淑华,基于SEM的电子束曝光软件系统研究,中国科学院电工研究所博士毕业论文,2008.
    [18]O. C. Wells, scanning electron microscopy, New York, McGraw-Hill (1974).
    [19]J. Goldstein, D. E. Newbury, D. C. Joy, P. Echlin, C. E. Lyman, E. Lifshin, Scanning electron microscopy and x-ray microanalysis,3rd edition, Kluwer Academic/Plenum Publishers, New York,2003.
    [20]Crestec CABL-9000C system, http://www.crestec8.co.jp.
    [21]J. J. H. Chen, S. J. Lin, T.Y. Fang, S. M. Chang, F. Krecinic, and B. J. Lin, Multiple electron beam maskless lithography for high-volume manufacturing, International Symposium on VLSI Technology, Systems, and Applications,2009. VLSI-TSA'09.
    [22]J. T. Hastings, F. Zhang, and H. I. Smith, Nanometer-level stitching in raster-scanning electron-beam lithography using spatial-phase locking, J. Vac. Sci. Technol. B 21,2650 (2003).
    [23]I. Zailer, J. E. F. Frost, V. Chabasseur-Molyneux, C. J. B. Ford and M. Pepper, Crosslinked PMMA as a high-resolution negative resist for electron beam lithography and applications for physics of low-dimensional structures, Semicond. Sci. Technol. 11,1235(1996).
    [24]J. K. Chen, F. H. Ko, H. L. Chen and F. C. Chang, Mechanism and Modeling of Ring Pattern Formation for Electron Beam Exposure on Zwitterresist, Jpn. J. Appl. Phys.42,3838 (2003).
    [25]T. Kozawa, Y. Yoshida, M. Uesaka and S. Tagawa, Radiation-Induced Acid Generation Reactions in Chemically Amplified Resists for Electron Beam and X-Ray Lithography, Jpn. J. Appl. Phys.31,4301 (1992).
    [26]P. Kruit, S. Steenbrink, R. Jager, and M. Wieland, Shot-noise and edge roughness effects in resists patterned at 10 nm exposure, J. Vac. Sci. Technol. B 16, 3784(1998).
    [27]Shot noise:http://en.wikipedia.org/wiki/Shot_noise.
    [28]Poisson distribution:http://en.wikipedia.org/wiki/Poisson_distribution.
    [29]J. K.W. Yang, B. Cord, H. G. Duan, Karl K. Berggren et al., Understanding of Hydrogen Silsesquioxane Electron Resist for Sub-5-nm-Half-Pitch Lithography, J. Vac. Sci. Technol. B 27,2622 (2009).
    [30]V. Manfrinato, H. G. Duan, L. L. Cheong, H. I. Smith, K. K. Berggren, Sub-5-keV Electron-Beam Lithography (accepted by EIPBN 2010).
    [31]Karl K. Berggren and Henry I. Smith, Nanostructures Fabrication, Chapter 4 (in Publication)
    [32]D. Kupper, D. Kupper, T. Wahlbrink, J. Bolten, M. C. Lemme, Y. M. Georgiev, and H. Kurz, Megasonic-assisted development of nanostructures, J. Vac. Sci. Technol. B24,1827(2006).
    [33]P. Dentinger, K. Krafcik, K. Simison, R. Janek, and J. Hachman, High aspect ratio patterning with a proximity ultraviolet source, Microelectronic Engineering, Vol. 61-62,1001 (2002).
    [34]D. L. Goldfarb, J. J. de Pablo, P. F. Nealey, J. P. Simons, W. M. Moreau, and M. Angelopoulos, Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse, J. Vac. Sci. Technol. B 18,3313 (2000).
    [35]M. Ahn, Ralf K. Heilmann, Mark L. Schattenburg, fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafers, J. Vac. Sci. Technol.B 25, 2593 (2007).
    [1]A. Muray, M. Isaacson, and I. Adesida, A1F3-A new very high resolution electron beam resist, Appl. Phys. Lett.45,589 (1984).
    [2]W. F. van. Dorp, B. van. Someren, C. W. Hagen, and P. Kruit, Approaching the Resolution limit of Nanometer-scale electron beam-induced deposition, Nano Lett.5, 1303 (2005).
    [3]D. Kupper, D. Kupper, T. Wahlbrink, J. Bolten, M. C. Lemme, Y. M. Georgiev, and H. Kurz, Megasonic-assisted development of nanostructures, J. Vac. Sci. Technol. B 24,1827(2006).
    [4]B. Cord, J. Lutkenhaus, and K. K. Berggren, Optimal temperature for development of poly(methylmethacrylate), J. Vac. Sci. Technol. B 25,2013 (2007).
    [5]M. S. M. Saifullah, K. R. V. Subramanian, E. Tapley, D. J. Kang, M. E. Welland, and M. Butler, Sub-10 nm electron beam nanolithography using spin-coatable TiO2 resists, Nano Lett.3,1587 (2003).
    [6]K. R. V. Subramanian, M. S. M. Saifullah, E. Tapley, D. J. Kang, M. E. Welland and M. Butler, Direct writing of ZrO2 on a sub-10 nm scale using an electron beam, Nanotechnology 15,158 (2004).
    [7]C. Kisielowski, P. Specht, D. Alloyeau, R. Erni, Q. Ramasse, in Frontiers of Characterization and Metrology for Nanoelectronics:2009, D. G. Seiler, A. C. Diebold, R. McDonald, C.M. Garner, D. Herr, R.P. Khosla, E.M. Secula (eds.), Aberration-corrected Electron Microscopy Imaging for Nanoelectronics Applications, American Institute of Physics Conference Proceedings 1173,231 (2009).
    [8]B. Cord, J. Yang, H. G. Duan, D. C. Joy, J. Klingfus, and K. K. Berggren, Limiting Factors in Sub-10-nm Scanning-Electron-Beam Lithography, J. Vac. Sci. Technol. B 27,2616 (2009).
    [9]W. Henschel, Y. M. Georgiev, and H. Kurz, Study of a high contrast process for hydrogen silsesquioxane as a negative tone electron beam resist, J. Vac. Sci. Technol. B 21,2018 (2003).
    [10]Y. F. Chen, H. F. Yang, and Zheng Cui, Effects of developing conditions on the contrast and sensitivity of hydrogen silsesquioxane, Microelectronic Engineering 83, 1119(2006).
    [11]Y. Yoon and J. Guo, Effect of edge roughness in graphene nanoribbon transistors, Appl. Phys. Lett.91,073103 (2007).
    [12]K. Yamazaki and H. Namatsu,5-nm-order electron-beam lithography for nanodevice fabrication, Jpn. J. Appl. Phys.43,3767 (2004).
    [13]V. Sidorkin, A. Grigorescu, H. Salemink, and E. Drift, Resist thickness effects on ultra thin HSQ patterning capabilities, Microelectronic Engineering 86,749 (2009).
    [14]J. K. W. Yang and K. K. Berggren, Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography, J. Vac. Sci. Technol. B 25,2025 (2007).
    [15]F. C. van Delft, Delay-time and aging effects on contrast and sensitivity of hydrogen silsesquioxane, J. Vac. Sci. Technol. B 20,2932 (2002).
    [16]X. M. Yang, S. G. Xiao, W. Wu, Y. Xu, K. Mountfield, R. Rottmayer, K. Lee, D. Kuo, and D. Weller, Challenges in 1 Teradot/in.2 dot patterning using electron beam lithography for bit-patterned media, J. Vac. Sci. Technol. B 25,2202 (2007).
    [17]J. K.W. Yang, B. Cord, H. G. Duan, K. K. Berggren et al., Understanding of Hydrogen Silsesquioxane Electron Resist for Sub-5-nm-Half-Pitch Lithography, J. Vac. Sci. Technol. B 27,2622 (2009).
    [18]D. Winston, B. M. Cord, B. Ming, D. C. Bell, W. F. DiNatale, L. A. Stern, A. E. Vladar, M. T. Postek, M. K. Mondol, J. K. W. Yang, and K. K. Berggren, Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist, J. Vac. Sci. Technol. B 27, pp.2702 (2009).
    [19]S. Choi, M. Yan, L. Wang, I. Adesida, Ultra-dense hydrogen silsesquioxane (HSQ) structures on thin silicon nitride membranes, Microelectronic Engineering 86, 521 (2009).
    [20]G. F. orusso, N. Collaert, R. Rooyackers, M. Ercken, I. Pollentier, S.Cheng, B. Degroote, M. Jurczak, S. Biesemans, O. Richard, H. Bender, A. Azordegan, R. Kuppa, S. Shirke, J. Prochazka, T. Long, CD SEM calibration to TEM for accurate metrology of fins in MuGFET devices,169-172, IEEE International Symposium on Semiconductor Manufacturing (2005).
    [21]Ted Pella Inc:http://www.tedpella.com
    [22]D. Schefzyk, D. Biesinger, D. Wharam, Extraction of the point-spread function in electron-beam lithography using a cross geometry, Microelectronic Engineering 87, 1091 (2009).
    [23]L. Battistella, D. Winston, K. K. Berggren, et al., Experimental PSF down to sub-10 nm scale (submitted).
    [24]D. B. Williams and C. B. Carter, Transmission Electron Microscopy:A Textbook for Materials Science, pp.53,2nd Edition, Springer,2009.
    [25]R. Dixson, J. Fu, N. Orji, W. Guthrie, R. Allen, M. Cresswell, CD-AFM Reference Metrology at NIST and SEMATECH,324-336, Metrology, Inspection, and Process Control for Microlithography XIX, edited by Richard M. Silver, Proc. of SPIE Vol.5752 (SPIE, Bellingham, WA,2005).
    [26]J. Foucher, N. Rana, C. Dezauzier,3D-AFM enhancement for CD metrology dedicated to lithography sub-28-nm node requirements, Proceedings Vol.7638, Metrology, Inspection, and Process Control for Microlithography XXIV, Christopher J. Raymond, Editors,2010.
    [27]R. Wiesendanger, Scanning probe microscopy and spectroscopy:methods and applications, Cambridge University Press,1994.
    [28]X. Li, X. Wang, L. Zhang, S. Lee, H. Dai, Chemically Derived, Ultrasmooth Graphene Nanoribbon Semiconductors, Science 319,1229 (2008).
    [29]K. Harry, H. G. Duan, J. Y. K. Yang, S. Strobel, V. Manfrinato, K. K. Berggren, Electrochemical Development of Hydrogen Silsesquioxane (Accepted by EIPBN 2010).
    [30]I. Zailer, J. E. F. Frost, V. Chabasseur-Molyneux, C. J. B. Ford and M. Pepper, Crosslinked PMMA as a high-resolution negative resist for electron beam lithography
    and applications for physics of low-dimensional structures, Semicond. Sci. Technol. 11,1235(1996).
    [31]S. Manako, J.Fujita, Y. Ochiai, E. Nomura, and S. Matsui, Resolution-limit study of chain-structures negative resist by electron beam lithography, Jpn. J. Appl. Phys. 36, L724(1997).
    [32]H. Sailer, A. Ruderisch, D. P. Kern, and V. Schurig, Evaluation of calixarene-derivatives as high-resolution negative tone electron-beam resists, J. Vac. Sci. Technol. B 20,2958 (2002).
    [1]M. S. M. Saifullah, K. R. V. Subramanian, E. Tapley, D. J. Kang, M. E. Welland, and M. Butler, Sub-10 nm electron beam nanolithography using spin-coatable TiO2 resists, Nano Lett.3,1587 (2003).
    [2]K. R. V. Subramanian, M. S. M. Saifullah, E. Tapley, D. J. Kang, M. E. Welland and M. Butler, Direct writing of ZrO2 on a sub-10 nm scale using an electron beam, Nanotechnology 15,158 (2004).
    [3]G. Brusatin, G. D. Giustina, F. Romanato and M. Guglielmi, Design of hybrid sol-gel films for direct x-ray and electron beam nanopatterning, Nanotechnology 19, 175306 (2008).
    [4]R. Abargues, J. Marques-Hueso, J. Canet-Ferrer, E. Pedrueza, J. L. Vald'es, E. Jimenez and J. P. Martinez-Pastor, High-resolution electron-beam patternable nanocomposite containing metal nanoparticles for plasmonics, Nanotechnology 19 355308 (2008).
    [5]B. Y. Zong, G. C. Han, Y. K. Zheng, L. H. An, T. Liu, K. B. Li, J. J. Qiu, Z. B. Guo, P. Luo, H. M. Wang, B. Liu, A General Approach to Semimetallic, Ultra-High-Resolution, Electron-Beam Resists, Adv. Func. Mater.19,1437 (2009).
    [6]A. Perentes, G. Sinicco, G. Boero, B. Dwir, P. Hoffmann, Focused electron beam induced deposition of nickel, J. Vac. Sci. Technol. B 25,2228 (2007).
    [7]S. Frabboni, G. C. Gazzadi, L. Felisari, and A. Spessot, Fabrication by electron beam induced deposition and transmission electron microscopic characterization of sub-10-nm freestanding Pt nanowires, Appl. Phys. Lett.88,213116 (2006)
    [8]O. A. Shenderova, V. V. Zhirnov, and D. W. Brenner, Carbon Nanostructures, Critical Reviews in Solid State and Materials Sciences 27,227 (2002).
    [9]P. J. F. Harris, New Perspectives on the Structure of Graphitic Carbons, Critical Reviews in Solid State and Materials Sciences 30,235 (2005).
    [10]C. N. R. Rao, Ram Seshadri, A. Govindaraj and Rahul Sen, Fullerenes, nanotubes, onions and related carbon structures, Materials Science and Engineering: R:Reports 15,209 (1995).
    [11]M. Terrones, science and technology of the twenty-first century:Synthesis, Properties, and Applications of Carbon Nanotubes, Annu. Rev. Mater. Res.33,419 (2003).
    [12]V. N. Popov, Carbon nanotubes:properties and application, Mater. Sci. and Eng. R:Reports 43,61 (2004).
    [13]D. M. Guldi, Fullerenes:three dimensional electron acceptor materials, Chem. Commun.321 (2000).
    [14]Jung A Lee, Kwang-Cheol Lee, Se Il Park and Seung S Lee, The fabrication of carbon nanostructures using electron beam resist pyrolysis and nanomachining processes for biosensing applications, Nanotechnology 19,215302 (2008).
    [15]F. Su, X. S. Zhao, Y. Wang, J. Zeng, Z. Zhou, J. Y. Lee, Synthesis of Graphitic Ordered Macroporous Carbon with a Three-Dimensional Interconnected Pore Structure for Electrochemical Applications. J. Phys. Chem. B 109,20200 (2005).
    [16]J. Li, C. Papadopoulosl, J. M. Xu1, and M. Moskovits, Highly-ordered carbon nanotube arrays for electronics applications, Appl. Phys. Lett.75,367 (1999).
    [17]K. S. Novoselov,l A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, A. A. Firsov, Electric Field Effect in Atomically Thin Carbon Films, Science 306,666 (2004).
    [18]C. Berger, Z. Song, X. Li, X. Wu, N. Brown, C. Naud, D. Mayou, T. Li, J. Hass, A. N. Marchenkov, E. H. Conrad, P. N. First, W. A. de Heer, Electronic Confinement and Coherence in Patterned Epitaxial Graphene Science 312,1191 (2006).
    [19]A. Reina, X. Jia, J. Ho, D. Nezich, H. Son, V. Bulovic, M. S. Dresselhaus, J. Kong, Large area, few-layer graphene films on arbitrary substrates by chemical vapor deposition, Nano Lett.9,30 (2009).
    [20]E. Fitzer, PAN-Based Carbon Fibers-Present State and Trend of the Technology From the Viewpoint of Possibilities and Limits to Influence and to Control the Fiber Properties by the Process Parameters, Carbon 27,621 (1989).
    [21]H. Dong and Jr. W. E. Jones, Preparation of Submicron Polypyrrole/Poly(methyl methacrylate) Coaxial Fibers and Conversion to Polypyrrole Tubes and Carbon Tubes, Langmuir 22,11384 (2006).
    [22]Y. Koval, Mechanism of etching and surface relief development of PMMA under low-energy ion bombardment, J. Vac. Sci. Technol. B 22,843 (2004).
    [23]R. B. Du, S. Ssenyange, M. Aktary, M. T. McDermott, Fabrication and Characterization of Graphitic Carbon Nanostructures with Controllable Size, Shape, and Position, Small 5,1162 (2009).
    [24]A. Turchanin, A. Beyer, C. T. Nottbohm, X. Zhang, R. Stosch, A. Sologubenko, J. Mayer, P. Hinze, T. Weimann, A. Golzhauser, One Nanometer Thin Carbon Nanosheets with Tunable Conductivity and Stiffness, Adv. Mater.21,1233 (2009).
    [25]I. Zailer, J. E. F. Frost, V. Chabasseur-Molyneux, C. J. B. Ford and M. Pepper, Crosslinked PMMA as a high-resolution negative resist for electron beam lithography and applications for physics of low-dimensional structures, Semicond. Sci. Technol. 11,1235(1996).
    [26]A. C. F. Hoole, M. E. Welland and A. N. Broers, Negative PMMA as a high-resolution resist—the limits and possibilities, Semicond. Sci. Technol.12,1166 (1997).
    [27]S. Wang, D. F. P. Pile, C. Sun, and X. Zhang, Nanopin Plasmonic Resonator Array and Its Optical Properties, Nano Lett.7,1076 (2007).
    [28]E. Girgis, J. Liu, M. L. Benkhedar, Fabrication of metallic air bridges using multiple-dose electron beam lithography, Appl. Phys. Letts.88,202103 (2006).
    [29]W. H. Teh, C. T. Liang, M. Graham, and C. G. Smith, Cross-Linked PMMA as a Low-Dimensional Dielectric Sacrificial Layer, J. MEMS 12,641 (2003).
    [30]K. Mitsuishi, and E.A. Stach, In Situ Transmission Electron Microscopy, P.J. Ferreira, MRS Bulletin 33,83 (2008).
    [31]D. Li, Y. Xia, Electrospinning of nanofibers:reinventing the wheel? Adv. Mater. 16,1151(2004).
    [32]O. Guise, J. Ahner, J. Yates, and J. Levy, Formation and thermal stability of sub-10-nm carbon templates on Si (100), Appl. Phys. Lett.85,2352 (2004).
    [33]F. Banhart, Irradiation effects in carbon nanostructures, Rep. Prog. Phys.62, 1181(1999).
    [34]D. Ugarte, Curling and closure of graphitic networks under electron-beam irradiation, Nature 359,707 (1992).
    [35]T. D. Yuzvinsky, W. Mickelson, S. Aloni, G. E. Begtrup, A. Kis, and A. Zettl, Shrinking a Carbon Nanotube, Nano Lett.6,2718 (2006).
    [36]L. M. Bellan, J. D. Cross, E. A. Strychalski, J. Moran-Mirabal, and H. G. Craighead, Individually Resolved DNA Molecules Stretched and Embedded in Electrospun Polymer Nanofibers, Nano Lett.6,2526 (2006).
    [37]J. Gao, A. Yu, M. E. Itkis, E. Bekyarova, B. Zhao, S. Niyogi, and R. C. Haddon, Large-Scale Fabrication of Aligned Single-Walled Carbon Nanotube Array and Hierarchical Single-Walled Carbon Nanotube Assembly, J. Am. Chem. Soc.126, 16698 (2004).
    [38]B. W. Smith and D. E. Luzzi, Electron irradiation effects in single wall carbon nanotubes, J. Appl. Phys.90,3509(2001).
    [39]V. H. Crespi, N. G. Chopra, M. L. Cohen, A. Zettl, and S. G. Louie, Anisotropic electron-beam damage and the collapse of carbon nanotubes, Phys. Rev. B 54,5927 (1996).
    [40]F. Banhart, Formation and transformation of carbon nanoparticles under electron irradiation, Phil. Trans. R. Soc. A 362,2205 (2004).
    [41]G. Y. Zhang, X. Jiang, and E. G. Wang, Tubular Graphite Cones, Science 300, 472 (2003).
    [42]C. Dekker, Solid-state nanopores, Nat. Nanotechnol 2,209 (2007).
    [43]D. W. Deamer and D. Branton, Characterization of Nucleic Acids by Nanopore Analysis, Ace. Chem. Res.35,817 (2002).
    [44]A. J. Storm, J. H. Chen, X. S. Ling, H. W. Zandbergen and C. Dekker, Fabrication of solid-state nanopores with single-nanometre precision, Nat. Mater.2, 537 (2003).
    [45]E. H. Lee, G. R. Rao and L. K. Mansur, LET effect on cross-linking and scission mechanisms of PMMA during irradiation, Radiat. Phys. Chem.55,293 (1999).
    [46]B. Cord, J. Lutkenhaus, and Karl K. Berggren, "Optimal temperature for development of poly(methylmethacrylate), J. Vac. Sci. Technol. B 25,2013 (2007).
    [47]E. Partouche and S. Margel, Carbonization of PAN grafted uniform crosslinked polystyrene microspheres, Carbon 46,796 (2008).
    [1]R. A. Segalman, Patterning with block copolymer thin films. Mater. Sci. Eng. R 48,191 (2005).
    [2]R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo, P. F. Nealey, Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly, Science 321,936 (2008).
    [3]I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, and K. K. Berggren, Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates, Science 321,939 (2008).
    [4]T. L. Breen, J. Tien, S. R. J. Oliver, T. Hadzic, G. M. Whitesides, Design and Self-Assembly of Open, Regular,3D Mesostructures, Science 284,948 (1999).
    [5]G. M. Whitesides, and B. Grzybowski, Self-assembly at All scales, Science 295, 2418(2002).
    [6]S. mann, self-assembly and transformation of hybrid nano-objects and nanostructures under equilibrium and non-equilibrium conditions, Nat. Mater.8,781 (2009).
    [7]O. Ikkalaland G. ten Brinke, Functional Materials Based on Self-Assembly of Polymeric Supramolecules, Science 295,2407 (2002).
    [8]H. Yan, S. H. Park, G. Finkelstein, J. H. Reif, T. H. LaBean, DNA-Templated Self-Assembly of Protein Arrays and Highly Conductive Nanowires, Science 301, 1882(2003).
    [9]H. Dietz, S. M. Douglas, W. M. Shih, Folding DNA into Twisted and Curved Nanoscale Shapes, Science 325,725 (2009).
    [10]Y. Xia, P. Yang, Y. Sun, Y. Wu, B. Mayers, B. Gates, Y. Yin, F. Kim, H. Yan One-dimensional nanostructures:Synthesis, characterization, and applications. Adv. Mater.15,353 (2003).
    [11]J. K. W. Yang, Y. S. Jung, J. B. Chang, R. A. Mickiewicz, A. Alexander-Katz, C. A. Ross and K. K. Berggren, Complex self-assembled patterns using sparse commensurate templates with locally varying motifs, Nat. Nanotechnol.5,256 (2010).
    [12]P. G. de Gennes, F. Brochard-Wyard and D. Quere, Capillarity and Wetting Phenomena:Drops, Bubbles, Pearls, Waves, Springer, New York (2003).
    [13]J. Bico, A. Boudaoud and B. Roman, Elastocapillary coalescence in wet hair, Nature 432,690 (2004).
    [14]K. Y. Suh, and H. H. Lee, Capillary force lithography:large-area patterning, self-organization, and anisotropic dewetting, Adv. Funct. Mater.12,405 (2002).
    [15]A. K. Geim, S. V. Dubonos, I. V. Grigorieva, K. S. Nonoselov, A. A. Zhukov, and S. Y. Shapoval, Microfabricated adhesive mimicking gecko foot-hair, Nat. Mater. 2,461 (2003).
    [16]J. G. Guo, L. J. Zhou and Y. P. Zhao, Instability analysis of torsional MEMS/NEMS actuators under capillary force, J. Colloid Interface Sci.331,458 (2009).
    [17]P. W. Rothemund, Using lateral capillary forces to compute by self-assembly, PNAS 97,984 (2000).
    [18]G. M. Whitesides and M. Boncheva, Beyond molecules:self-assembly of mesoscopic and macroscopic components, PNAS 99,4769 (2002).
    [19]N. Bowden, A. Terfort, J. Carbeck, G. M. Whitesides, Self-assembly of mesoscale objects into ordered two-dimensional arrays, Science 276,233 (1997).
    [20]C. Py, P. Reverdy, L. Doppler, J. Bico, B. Roman, and C. N. Baroud, Capillary Origami:Spontaneous Wrapping of a Droplet with an Elastic Sheet, Phys. Rev. Lett. 98,156103 (2007).
    [21]X. Y. Guo, H. Li, B. Y. Ahn, E. B. Duoss, K. J. Hsia, and J. A. Lewis, Two-and three-dimensionalal folding of thin film single-crystalline silicon for photovoltaic power applications, PNAS 106,20149 (2009)
    [22]T. G. Leong, P. A. Lester, T. L. Koh, E. K. Call, and D. H. Gracias, Surface Tension-driven Self-folding Polyhedra, Langmuir 23,8747 (2007).
    [23]H. O. Jacobs, A. R. Tao, A. Schwartz, D. H. Gracias, G. M. Whitesides, Fabrication of a Cylindrical Display by Patterned Assembly, Science 296,323 (2002).
    [24]B. Pokroy, S. H. Kang, L. Mahadevan, and J. Aizenberg, Self-Organization of a Mesoscale Bristle into Ordered, Hierarchical Helical Assemblies, Science 323,237 (2009).
    [25]D. Wu, Q. D. Chen, B. B. Xu, J. Jiao, Y. Xu, H. Xia, and H. B. Sun, Self-organization of polymer nanoneedles into large-area ordered flowerlike arrays, Appl. Phys. Lett.95,091902 (2009).
    [26]K. G. Sharp, G. S. Blackman, N. J. Glassmaker, A. Jagota, C. Y. Hui, Effect of stamp deformation on the quality of microcontact printing:Theory and experiment, Langmuir 20,6430 (2004).
    [27]F. B. Beer, E. R. Johnston, Mechanics of Materials,2nd ed.; McGraw-Hill:New York,1992.
    [28]Adam E. Cohen and L. Mahadevan, Kinks, rings, and rackets in filamentous structures, PNAS 100,12141 (2003).
    [29]H. Namatsu, K. yamazaki, and K. Kurihara, Supercritical resist dryer, J. Vac. Sci. Technol. B.18,780 (2000).
    [30]H. G. Duan, J. G. Zhao, Y. Z. Zhang, E. Q. Xie, L. Han, Preparing patterned carbonaceous nanostructures directly by overexposure of PMMA using electron-beam lithography, Nanotechnology 20,135306 (2009).
    [31]J. N. Israelachvili:Intermolecular and Surface Forces (Academic Press Ltd., London,2nd ed.,1992).
    [32]A. Sidorenko, T. Krupenkin, A. Taylor, P. Fratzl, J. Aizenberg, Reversible switching of hydrogel-actuated nanostructures into complex micropatterns, Science 315,487 (2007).
    [33]B. pokroy, A. K. Epstein, M. M. Persson-Gulda, J. Aizenberg, Fabrication of Bioinspired actuated nanostructures with arbitrary geometry and stiffness, Adv. Mater. 21,463 (2009).
    [34]P. W. K. Rothemund, Folding DNA to create nanoscale shapes and patterns, Nature 440,297 (2006).
    [35]M. Mastrangeli, S. Abbasi, C. Varel, C. Van Hoof, J. P. Celis and K. F. Bohringer, Self-assembly from milli-to nanoscales:methods and applications, J. Micromech. Microeng.19,083001 (2009).
    [36]S. M. Iqbal, G. Balasundaram, S. Ghosh, D. E. Bergstrom, and R. Bashir, Direct current electrical characterization of ds-DNA in nanogap junctions Appl. Phys. Lett. 86,153901 (2005).
    [37]C. Z. Li, H. X. He, and N. J. Tao, Quantized tunneling current in the metallic nanogaps formed by electrodeposition and etching, Appl. Phys. Lett.77,3995 (2000).
    [38]Dmitri K. Gramotnev and Sergey I. Bozhevolnyi, Plasmonics beyond the diffraction limit, Nature Photonics 4,83 (2010)
    [39]V. Kudryashov, X. C. Yuan, W. C. Cheong, and K. Radhakrishnan, Grey scale structures formation in SU-8 with e-beam and UV, Microelectronic Engineering Vol.67-68,306 (2003).
    [40]K. K. S. Lau, J. Bico, K. B. K. Teo, M. Chhowalla, G. A. J. Amaratunga, W. I. Milne, G. H. McKinley, and K. K. Gleason, Superhydrophobic Carbon Nanotube Forests, Nano Lett.3,1701 (2003).
    [41]A. Dev and S. Chaudhuri, Uniform large-scale growth of micropatterned arrays of ZnO nanowires synthesized by a surfactant assisted approach, Nanotechnology 18, 175607(2007).
    [42]Y. P. Zhao and J. G. Fan, Clusters of bundled nanorods in nanocarpet effect, Appl. Phys. Lett.88,103123 (2006).
    [43]D. Chandra, S. Yang, A. A. Soshinsky, and R. J. Gambogi, Appl. Mater. Interfaces 1,1698 (2009).
    [44]Y. D. Yin, Y. Lu, B. Gates, and Y. N. Xia, Template-assisted self-assembly:a practical route to complex aggregates of monodispersed colloids with well-defined Sizes, shapes, and structures, J. Am. Chem. Soc.123,8718 (2001).

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700