用户名: 密码: 验证码:
基于多扫描链的测试数据压缩方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
超深亚微米技术的应用使得芯片的集成度大幅提高,集成电路设计正快速地向系统芯片SoC(System-On-a-Chip)设计方法转变,逐步地将各种预先设计和验证的芯核(core)集成在一个芯片上,这种基于芯核的设计风格和创新技术,大大增加了设计产量,使得在一个SoC上集成数亿个晶体管成为可能,也加快了产品投放市场的时间,但是,不断增加的芯片复杂性和测试数据量,也使芯片的测试费用不断上升,尤其是自动测试设备ATE变得越来越昂贵,测试问题已经成为SoC发展的瓶颈。
     测试数据压缩是解决SoC测试问题的一种行之有效的方法,它可以用于减少所需存储的测试数据量。测试向量集经压缩(编码)后,数据量可以缩小20倍以上。测试时,压缩后的数据经过解码电路被还原为原始的测试向量,施加到被测电路完成测试。
     本文所做的主要工作如下:
     1.介绍了基本的SoC测试相关知识及目前主要的SoC测试方法。
     2.提出了两种针对多扫描链的测试数据压缩方案:
     ①基于多扫描链的两维(纵向/横向)测试数据压缩方案有效降低测试的数据存储量,减少测试时间。首先,运用相容压缩技术对多扫描链环境下的测试集进行压缩,合并相容扫描链;然后,针对合并后的多扫描链测试模式,按照测试模式间差别字的多少,进行优化排序,记录相邻的差别字,并将差别字按字典方法编码进行再压缩。
     ②基于多扫描链的逆向折叠测试数据压缩方案是在基于折叠计数器的测试数据压缩方法的基础上,利用测试模式间的逆向折叠关系,通过一个逆向折叠种子和测试模式间的逆向距离值来记录整个测试集。在整个解压过程中本方案不需要进行种子的重播种,只利用逆向距离值实现模式间的转变,高效地述原出原测试集,这种方法有效地减少了测试数据量和测试时间。
     3.设计开发了针对以上两个方案的实验程序,提供了实验结果,在采用相同实验数据的情况下,与混合码等方案的实验结果进行了比较,结果表明本文的方法明显具有更高的压缩率,同时,解压结构也较简单,因此,本文的方案拥有高效而快速的综合测试性能。
The application of Very Deep Sub-Micron (VDSM) technology increases the density of chips greatly. IC design is being quickly transformed into SoC (System-On-a-Chip) design. Various pre-designed and pre-verified cores are gradually built on a single chip ,and this design style and innovative technology based on cores enhances the output of design. Now, it is possible to build hundreds of million transistors on a SoC. Also, it takes a shorter time for product to come into the market . But, the continually increasing complexity and testing data volume make the testing cost continuously rising. Particularly, ATE(Automatic Test Equipment) is becoming more and more expensive, so the problem of testing has bottlenecked the development of SoC .The test data compression is a feasible measure to resolve the problem of SoC test. It can be used to decrease the SoC test data volume . The volume of test vector can be decrease to less than one twentieth through compressing (coding).While testing , the compressed data can be decompressed to the original test vectors with the decoding circuit, then the original test vectors are put into the CUT(circuit under test) to finish the test.The main work of the thesis are showed as following:Firstly, the introduction of the basic knowledge and the main methods of SoC test in present.Secondly, the thesis puts forward two kinds of test data compression approach for multiple scan chains:(1) Two-dimensional(vertical/horizontal) test data compression approach for multiple scan chains reduces test data volume and testing time efficiently. First, it does the vertical compression (compatible compression) for the test patterns formatted according to multiple scan chains, and then it rearranges the results to accomplish the horizontal compression for the test set by coding the different word with dictionary and marking the distance.(2) Approach of test data compression based on inverted folding counter for multiple scan chains is based on the scheme of test-data compression of folding counter. It takes advantage of the inverted folding relations between the test patterns, and records the whole test set by one inverted folding seed and the inverted folding distances between the test patterns. During the process of the decompression, it changes the patterns with inverted folding distances to decompress the test set efficiently without reseeding. This method
    reduce both the test data volume and the test application time greatly.Thirdly, The design and development of the experiment programs for these two schemes . The results of experiment are shown and compared with Hybrid Codes' and other schemes', and it is evident that these two proposed approach have higher compression ratio than the others. At the same time, the decompression structure is simpler. In a word, these approaches have efficient performance in test.
引文
[1] Goldstein L. Controllability/Observability Analysis Program [A]. IEEE Design Automation Conf. [C]. 1980-06,190-196.
    [2] The National Technology Roadmap for Semiconductors (ITRS), 1997 Edition Semiconductor Industry Association
    [3] K.Chakrabarty , V.Iyengar and A.Chandra. Test Recourse Partitioning for System-on-a-chip, Kluwer Academic Publishers. Norwell, MA, 2002
    [4] A.Chandra and K.Chakrabarty. Test resource partitioning for System-on-a-chip, based on test data compression and on-chip decompression", IEEE Design.and Test of Computers, vol. 18,pp.80-91 ,September/October,2001.
    [5] Chandra, A.;Chakrabarty, K. Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes. Computers, IEEE Transactions on Volume 52, Issue 8, Aug. 2003 Page(s):1076 -1088
    [6] MEDEA+Design Automation Roadmap ,march 2002. www.medeaplus.org/webpublic/ edaroadmap_merci.hym
    [7] 1999 International Technology Roadmap for Semiconductors.http://piblic.itrs.net/files/ 1999_SIA_Roadmap/Home.htm
    [8] Hamzaoglu, I., Patel, J.H. Test set compaction algorithms for combinational circuits. Computer-Aided Design, 1998. ICCAD 98. Digest of Technical Papers. 1998 IEEE/ACM International Conference on 8-12 Nov 1998 Page(s):283 - 289
    [9] Kajihara. S., Pomeranz. I., Kinoshita. K., Reddy,.S.M. Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on Volume 14, Issue 12, Dec. 1995 Page(s): 1496-1504
    [10]Pomeranz. I., Reddy. L.N., Reddy. S.M. COMPACTEST: a method to generate compact test sets for combinational circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on Volume 12, Issue 7, July 1993 Page(s):1040-1049
    [11] Jas. A., Ghosh-Dastidar. J., Touba. N.A. Scan vector compression/decompression using statistical coding. VLSI Test Symposium, 1999. Proceedings. 17th IEEE 25-29 April 1999 Page(s):114-120
    [12] Jas.A.,Ghosh-Dastidar.J.,Mom-Eng.Ng,Touba.N.A.An efficient test vector compression scheme using selective Huffman coding.Computer-Aided Design of Integrated Circuits and Systems IEEE Transactions on Volume 22, Issue 6, June 2003 Page(s):797 - 806
    [13] Chandra.A.,Chakrabarty.K.System-on-a-chip test-data compression and decompression architectures based on internal scan chains and Golomb codes.IEEE Transactions on computer-aided design of integrated circuit & systems, vol.21,2002,pp.715-722
    [14] Chandra.A.,Chakrabarty.K.System-on-a-chip test-data compression and decompression architectures based on Golomb codes.Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on Volume 20, Issue 3, March 2001 Page(s):355-368
    [15] Frequency-directed run-length(FDR) codes with application to system-on-a-chip test data compression Chandra, A.;Chakrabarty, K.;Proc,IEEE VLSI Test Symposium, 2001, pp,42-47
    [16] A.Jas and N.A.Touba, Test vector decompression via cyclical scan chain and its application to testing core-based designs Proc.of IEEE International Test Conference (ITC), 1998
    [17] W.Armin,S.T.Christofer, H.Sybille, A Hybrid Coding Strategy for Optimized Test Data Compression, Proceedings IEEE Internatioanal Test Conference, Charlotte, NC, USA, September 30-October 2,2003
    [18] 梁华国,蒋翠云.基于交替与连续长度码的有效测试数据压缩和解压.计算机学报.第27卷第4期,2004年4月
    [19] 梁华国,聚贝勒.海伦布昂特,汉斯-耶西姆.冯特利西.一种基于折叠计数器重新播种的确定自测试方案.计算机研究与发展,2001,38(8)
    [20] LIANG Huaguo,S.Hellebrand,H.-J.Wunderlich. A Mixed-Mode BIST Scheme Based on Folding Comression. Journal of Computer Science and Technology, Vol 17 No 2, 2002
    [21] Huaguo Liang, Cuiyun Jiang.Sharing BIST with Multiple Cores for System-on-a-chip, Proc. of the 12th Asian Test Symposium, 2003
    [22] Xiaowei Li and P.Y.S.Cheng. A Loop-Based Apparatus for At-Speed Self-Testing. Journal of Computer Science and Technology, Vol. 16,No.3,2001,pp.278-285
    [23] Xiaowei Li, P.Y.S.Cheng and H.Fujiwara. LFSR-Based Deterministic TPG for Two Pattern Testing. Journal of Electronic Testing: Theory and Application,Vol.16, No. 5, 2000,pp.419-426
    [24] 李兆麟,叶以正,毛志刚:基于多扫描链的内建自测试技术中的测试向量生成,计算机学报,第24卷第4期,2001年4月
    [25] 徐磊,孙义和,陈弘毅:基于扫描的低测试功耗结构设计,计算机研究与发展,2001.12
    [26] 徐国强,王玉艳,马鹏,章建雄:基于微处理器的可测性设计,计算机工程,2002.09
    [27] D.K. Bhavsar. Scan wheel-a technique for interfacing a high speed scan-path with a slow speed tester. Proc. of VLSI Test Symposium, pp. 94-99, 2001.
    [28] D.K. Bhavsar, R.A. Davies. Scan Islands-a scan partitioning architecture and its implementation on the Alpha 21364 processor". Proc. VLSI Test Symposium, pp.16-21, 2002.
    [29] D. Xiang, S. Gu, J. Sun, and Y. Wu. A cost-effective scan architecture for scan testing with nonscan test power and test application cost. Proc. Design Automation Conference, pp. 744-747, 2003.
    [30] The National Technology Roadmap for Semiconductors (ITRS), 2000 Edition. Semiconductor Industry Association.
    [31] F.Beenker et al. Macro testing: unifying IC and board test. IEEE Design & Test of Computers, pp. 26-32, 1986.
    [32] M. Slamani and B. Kaminska. Fault observability analysis of analog circuits in frequency domain. IEEE Trans. on Circuits System Ⅱ, 43(2), pp. 134-139, 1996.
    [33] L. Chen and S. Dey. Software-Based Self-Testing Methodology for Processor Cores. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 20(3), pp. 369-380, 2001.
    [34] P. Thadikaran, S. Chakravarty. Fast algorithms for computing IDDQ tests for combinational circuits. Proc. of Int. Conf. On VLSI Design, 1996, pp. 103-106.
    [35] J. P. Hurst and A. D.Singh. A differential build-in current sensor for high speed IDDQ testing. IEEE J. Solid State Circuits, 1997, Vol. 32, No. 1, pp. 122-125.
    [36] 朱文余,孙琦.计算机密码应用基础,科学出版社,2000年8月.
    [37] 胡冠章编著.应用近世代数.清华大学出版社,2000年9月
    [38] 肖国镇,梁传甲.伪随机序列及其应用.国防工业出版社,1985年3月.
    [39] S.Kim and B.Vinnakota. Fast Test Application Technique Without Fast Scan Clocks. IEEE/ACM International Conference on Computer Aided Design, Nov. 2000, pp. 464-467
    [40] C.-I.H.Chen and K.George. Configurable Two-Dimensional Linear Feedback Shifter Registers for Parallel and Serial Built-In Self-Test. IEEE Transactions on Instrumentation and Measurement, 53(4), Aug. 2004, pp. 1005-1014..
    [41] Hellebrand.S., Rajski.J., Tamick.S., Venkataraman.S., Courtois.B. Built-in test for circuits with scan based on reseeding of multiple-polynomial linear feedback shift registers.Computers, IEEE Transactions on Volume 44, Issue 2, Feb. 1995, Page(s): 223-233
    [42] Krishna.C.V., Jas. A., Touba, N.A. Test vector encoding using partial LFSR reseeding. Test Conference, 2001. Proceedings. International 30 Oct.-1 Nov. 2001, Page(s): 885-893
    [43] Xiaoyun Sun, Kinney. L., Vinnakota. B. Combining dictionary coding and LFSR reseeding for test data compression Design Automation Conference, 2004. Proceedings. 41st ,2004, Page(s):944-947
    [44] Bradley. S.D. Optimizing a scheme for run length encoding. Proceedings of the IEEE Volume 57, Issue 1, Jan. 1969, Page(s): 108- 109
    [45] S w Golomb. Run-Length Encoding. IEEE transactions on Information Theory, 1966, IT-12: 399-401.
    [46] Lei Li, Chakrabarty. K. Test data compression using dictionaries with fixed-length indices [SOC testing]. VLSI Test Symposium, 2003. Proceedings. 21st, 27 April-1 May 2003 Page(s):219-224
    [47]Bayraktaroglu. I., Orailoglu. A. Test volume and application time reduction through scan chain concealment. Design Automation Conference, 2001. Proceedings 2001 Page(s):151-155
    [48]Bayraktaroglu. I., Orailoglu. A. Concurrent application of compaction and compression for test time and data volume reduction in scan designs. Computers, IEEE Transactions on Volume 52, Issue 11, Nov. 2003 Page(s): 1480 -1489 Digital Object Identifier 10.1109/TC.2003.1244945
    [49]Wunderlich. H.-J., Kiefer, G. Bit-flipping BIST. Computer-Aided Design, 1996. ICCAD-96. Digest of Technical Papers. 1996 IEEE/ACM International Conference on 10-14 Nov. 1996 Page(s):337 - 343
    [50] Jas. A., Krishna. C.V., Touba, N.A. Weighted pseudorandom hybrid BIST. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on Volume 12, Issue 12, Dec 2004 Page(s):1277-1283
    [51]Jas. A., Krishna. C.V., Touba, N.A. Hybrid BIST based on weighted pseudo-random testing: a new test resource partitioning scheme. VLSI Test Symposium, 19th IEEE Proceedings on. VTS 2001, 29 April-3 May 2001 Page(s):2 - 8
    [52] Chakrabarty. K., Murray. B.T., Iyengar, V. Built-in test pattern generation for high-performance circuits using twisted-ring counters. VLSI Test Symposium, 1999. Proceedings. 17th IEEE, 25-29 April 1999 Page(s):22 - 27
    [53]Chakrabarty. K., Murray. B.T., Iyengar, V. Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on Volume 8, Issue 5, Oct. 2000 Page(s):633 - 636
    [54]Bayraktaroglu and A.Orailoglu. Decompression hardware determination for test volume and time reduction through unified test pattern compaction and compression. Proc. VLSI Test Symp, pp. 113-118,2003.
    [55]Brglez F.,Bryan D. and Kozminski K. Combinational Profiles of Sequential Benchmark
     Circuits. Proc. IEEE International Symposium on Circuits and Systems. 1989, pp.1929-1934
    [56] 徐雨娟,欧阳一鸣,梁华国.一种针对多扫描链的两维数据压缩方法.上海师范大学学报(自然科学版)2005,34(5)
    [57] Reduction of SoC Test Data Volume, Scan Power and Time Using Alternating Run-length Codes. Proc,ACM/IEEE Int.Design Autom.Conf,2002,New Orleans, LA,USA,pp.673-678
    [58] Wurtenberger. A,Tautermann.C.S., Hellebrand. S. Data compression for multiple scan chains using dictionaries with corrections Test Conference, 2004. Proceedings. International 2004 Page(s): 926-935
    [59] El-Maleh.A.H.;Al-Abaji.R.H, Extended frequency-directed run-length code with improved application to system-on-a-chip test data compression. Electronics, Circuits and Systems, 2002. 9th International Conference on Volume 2, 15-18 Sept. 2002 Page(s): 449-452 vol.2.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700