用户名: 密码: 验证码:
VLSI受工艺参数扰动影响的若干问题研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
作为有史以来发展最为迅速的工业之一,半导体工业的进步使大规模集成电路的特征尺寸不断缩小、芯片集成度不断提高。如今,半导体芯片的规模已达到数亿晶体管,原来需要多个芯片共同实现的电子系统也已可以完成单片集成,这不仅使器件和电路的性能得到了提高,同时也使单位电路功能的生产成本得以下降。但是,随着器件的最小尺寸进入65nm层级,一系列复杂工艺和新材料的应用将带来随机的工艺参数扰动。这些工艺参数扰动会直接造成集成电路的关键几何尺寸及电学参数与设计标称值之间产生严重偏差,从而导致芯片性能不可预测,大大增加了集成电路的设计难度,降低了集成电路的成品率
     针对该情况,本文着重研究了超大规模集成电路中工艺参数扰动的问题,分别从耦合互连线传输性能分析、芯片参数成品率估计与多目标优化以及电路标称值设计优化三方面入手,依次以电路级、芯片级、系统级的角度探讨了工艺参数扰动对集成电路性能的影响。其主要的研究工作有:
     第一,对于均匀耦合互连线模型,利用频域方法针对互连线集总电路进行分析。该方法首先将耦合互连线模型在线元分析阶段进行复频域去耦,使原本复杂的耦合互连线模型转化为独立互连线模型。然后,利用两条独立互连线的瞬态响应关系给出了串扰噪声的时域仿真表达式,并通过实验验证了其在串扰仿真评估中的有效性。另外,考虑实际电路中激励信号不理想对耦合互连线时延分析的影响,还建立了斜阶跃信号激励下的互连线时延模型,提出了基于耦合RLC互连线集总模型的时延分析方法。对于去耦后的耦合互连线模型,该方法利用二阶矩模型及改进的一阶模型对其传递函数进行化简,并通过数值方法给出了简洁的耦合互连线时延估计表达式。实验结果表明该方法可以对斜阶跃信号激励下的均匀耦合RLC互连线时延进行有效评估。
     第二,针对工艺参数扰动对互连电路传输性能的影响,建立了基于工艺扰动的耦合互连线双线随机模型,提出了基于随机谱方法的互连线串扰噪声和互连时延估计方法。该方法通过主成分分析理论对工艺参数扰动的强相关性进行去耦,分别利用两种随机谱方法(随机伽辽金方法和随机点匹配方法)与多项式混沌展开相结合,对耦合互连线的串扰噪声及互连时延进行估计。然后,通过复逼近及数值计算方法给出了工艺参数扰动下耦合RLC互连线串扰及时延估计的有限维表达式。此外,考虑多根互连线间的寄生耦合效应,还建立了多互连线耦合的RLC随机模型。根据该模型的求解规模,采用有效的随机谱方法对多耦合互连线的互连时延进行分析,最终利用数值方法推导出了相应的互连时延时域表达式。
     第三,考虑工艺参数扰动对大规模集成电路参数成品率估计的影响,提出了基于切比雪夫仿射技术的参数成品率估计方法。该方法针对通常工艺参数相关性未知的情况,利用仿射技术得到了漏电功耗及芯片时延的CDF上下边界,并通过此分布边界估计了漏电功耗成品率和芯片时延成品率。随后,在该参数成品率估计方法的基础上,又提出了基于自适应加权求和的成品率多目标优化方法。这种优化方法同时将相互制约的漏电功耗成品率及芯片时延成品率作为优化目标建立了功耗-时延优化模型,并通过自适应加权求和方法对该模型进行细化求解,以达到功耗成品率及时延成品率的均衡优化,从而得到了一组分布均匀的帕雷托优化解。实验结果表明,该方法可以有效的解决传统优化方法在帕雷托曲线变化率较小的地方求解不到优化解的问题,使设计者可以根据对漏电功耗成品率及芯片时延成品率的不同要求弹性的选择最佳优化解。
     最后,考虑工艺参数扰动概率分布的不确定性,提出了一种同时考虑参数域及性能域设计参数的鲁棒性量化方法。该方法从输出端性能限制条件入手,根据后向映射及前向映射方法定义设计空间及性能空间的可接受域作为设计参数的鲁棒性指标,并利用基于范数距离的度量方法对工艺参数扰动下的设计参数及性能波动进行了鲁棒性量化。随后,在该鲁棒性量化方法的基础上,又提出了一种基于替代模型管理框架的集成电路鲁棒性优化方法。该方法同时考虑具有相互制约特性的参数鲁棒性及性能鲁棒性建立集成电路鲁棒性的双目标优化模型,并利用替代函数使集成电路的鲁棒性在参数域及性能域内达到均衡优化。
As one of the fastest developing industries, the improvement of semiconductor industry makes it possible to produce VLSI devices with continuous decreasing feature sizes and increasing integration. Nowadays, as many as billions of transistors can be integrated in a single semiconductor chip. The electronic system, used to be implemented by several chips before, can be manufactured by using monolithic integration. This advantage not only improves the performance of devices and circuits, but also decreases the cost of circuit function unit. However as device feature size shrinks to below 65nm, the application of complex technologies and new materials will inevitably introduce stochastic process variations. These process variations directly induce significant deviation between the key geometric sizes, electrical parameters and their nominal design values, and further lead to the unpredictability of chip performance, increasing difficulty of VLSI design and degradation of circuit yield.
     To address this issue, this dissertation concentrates on the problem of process variations in VLSI circuits, and researches the impact of process variations at circuit level, chip level and system level respectively. In accordance, three aspects of problems are conducted in this research:the transmission line performance analysis, parameter yield estimation and multi-objective yield optimization, and circuit nominal design optimization. The main research points in this dissertation can be summarized as follows:
     Firstly, based on the uniform coupled interconnects model, the lumped circuit for interconnects is analyzed by the application of frequency domain method. This method decouples the coupled interconnect model in complex frequency domain and transforms the coupled model into independent interconnects. Then in time domain the estimated expression of crosstalk noise in uniform RLC model is presented, according to the transient response functions of the transformed independent interconnects. The effectiveness of the estimated expression is verified by experimental results. Moreover, the excitation signal in actual circuits is always non-ideal, which has a predominant impact on the analysis of interconnect delay. Considering this impact, an analytical delay model based on coupled RLC interconnect model is presented. For the decoupled interconnects, the two-pole model is combined with the modified one-pole model to simplify the transfer function. The delay expression for coupled interconnects is further proposed by numerical method. Experimental results demonstrate that the analytical method is capable of estimating the delay in coupled RLC interconnects effectively.
     Secondly, process variations also have an impact on the performance of interconnects. To evaluate this impact, a spectral stochastic method based analysis method for interconnect crosstalk and delay is presented. The suggested method decouples the strongly correlated process variations into orthogonal random variables by principle component analysis. By employing polynomial chaos expression, this work applies two spectral methods, stochastic galerkin method and stochastic collocation method, to estimate the crosstalk and delay in coupled transmission lines. A finite representation of interconnect crosstalk and delay can be further obtained by complex approximation method and numerical method. Furthermore, considering the parasitic coupling effect of multi-interconnects, the stochastic model for multi-interconnects is established. Based on this stochastic model, the delay is estimated by spectrum domain stochastic method and the delay expression in time domain can be finally derived.
     Thirdly, considering the impact of process variations on VLSI parameter yield, a chebyshev affine arithmetic based yield estimation approach is proposed. Under the assumption of unknown relationships among process variations, this technique predicts the CDF (Cumulative Distribution Function) bounds for leakage power and circuit delay respectively, and estimates the leakage power yield and circuit delay yield based on the predicted distributions. Then by using this estimation technique for parametric yield, a yield multi-objective optimization framework is proposed based on the adaptive weighted sum method. This optimization framework regards both timing and power yield as objective functions and solves the power-delay multi-objective optimization problem with AWS method. AWS is helpful in obtaining a set of uniformly distributed pareto-optimal solutions, therefore is capable of providing sufficient trade-off information between power and delay yield. Experimental results demonstrate that the proposed multi-objective framework effectively solves the problem in traditional optimization methods that optimal solution cannot be obtained in the regions where the curvature of pareto surface is insignificant. Consequently the designer can choose the optimal solutions with different emphasis points.
     Finally, considering the uncertain distribution of process variations, a robustness quantification rmethod for design parameters in both parameter space and performance space is proposed. Starting with the performance constraints in performance space, this proposed technique applies backward mapping and forward mapping to explore the feasible regions in parameter space and performance space respectively, for the purpose of quantifying the robustness of design parameters in both parameter space and performance space. The robustness indexes are computed based on norm distances. Then with the robustness indexes quantified by this new methodology, a design optimization procedure based on surrogate management framework is proposed. Both parameter robustness and performance robustness are taken into account to construct a bi-objective model and find the trade-off between these two robustness metrics by the surrogates.
引文
[1]G. E. Moore. Cramming more components onto integrated circuits [J]. Electronics Magazinge.1965,38:114-117
    [2]王阳元,康晋锋.超深亚微米集成电路中的互连问题——低k介质与Cu的互连集成技术[J].半导体学报.2002,23(11):1121-1134
    [3]International Technology Roadmap for Semiconductors 2007 Edition [R].ITRS,2007,3
    [4]N. Verghese. DFM reality in sub-nanometer IC design [C]. In IEEE Asia and South Pacific Design Automation Conference.2007,226-231
    [5]S. H. Chen, K. C. Chu, and J. Y. Lin. DFM/DFY practices during physical designs for timing, signal integrity, and power [C]. In IEEE Asia and South Pacific Design Automation Conference.2007,232-237
    [6]B. M, K. J, B. J, et al. DFM/DFY design for manufacturability and yield influence of process variations in digital, analog and mixed signal circuit desing [C]. In DATE.2006,1-6
    [7]C. W. Lin, M. C. Tsai, K. Y. Lee, et al. Recent research and emerging challenges in physical design for manufacturability/reliability [C]. In IEEE Asia and South Pacific Design Automation Conference.2007,238-243
    [8]T. Sakurai. Closed-form expressions for interconnection delay, coupling and crosstalk in VLSI's[J]. IEEE Trans Elec Dev.1993,40(1):118-124
    [9]C. Mead, M. Rem. Minimum propagation delays in VLSI [J]. IEEE J Sol Sta Circ.1982,17(4):773-775
    [10]H. B. Vakoglu, J. D. Meindl. Optimal interconnection circuits for VLSI [J]. IEEE Trans Electron Devices.1985,32(5):903-909
    [11]G. Bilardi, M. Pracchi, and F. P. Preparata. A critique of net work speed in VLSI models of computation [J]. IEEE J Sol Sta Circ.1982,7(2):696
    [12]J. Chen, L. He. A decoupling method for analysis of coupled RLC interconnects [C]. In IEEE/ACM International Great Lakes Symposium on VLSI.2002,41-46
    [13]K. Banerjee, A. Mehrotra. Analysis of On-Chip Inductance Effects for Distributed RLC Interconnects [J]. IEEE Transactions on Computer Aided Design.2002,21(8):904-915
    [14]K. Agarwal, D. Sylvester, and D. Blaauw. Modeling and Analysis of Crosstalk Noise in Coupled RLC Interconnects [J]. IEEE Transactions on Computer Aided Design.2006,25(5):892-901
    [15]J.Zhang, E. G. Friedman. Decoupling Technique and Crosstalk Analysis for Coupled RLC Interconnects [C]. In Proceedings of the IEEE International Symposium on Circuits and Systems.2004,521-524
    [16]Q. Xu, P. Mazumder, and M. Bhattacharya. Modeling of Non-uniform Interconnects by Using Differential Quadrature Method [C]. In Fourteenth International Conference on VLSI Design.2001,327-332
    [17]A. H. Ajami, K. Banerjee, and M. Pedram. Modeling and analysis of non-uniform substrate temperature effects on global ULSI interconnects [J]. IEEE Transactions on Computer Aided Design.2005,24(6):894-861
    [18]J. Wang, P. Ghanta, and S. Vrudhula. Stochastic analysis of interconnect performance in the presence of process variations [C]. In IEEE/ACM International conference on computer-aided design.2004
    [19]X. Li, P. Li. Parameterized interconnect order reduction with explicit and implicit multi-parameter moment matching for inter/intra-die variations [C]. In IEEE/ACM International conference on computer-aided design.2005, 806-812
    [20]S. W. Director, W. Maly. Advances in computer-aided design for very large scale integration:statistical approach to VLSI [M]:North-Holland Publishers. 1994
    [21]C. H. Stapper. Modeling of integrated circuit defect sensitivities [J]. IBM J. of Research and development.1983,27(6):549-557
    [22]S. Schuster. Multiple word/bit line redundancy for semiconductor memories [J]. IEEE J. Solid-state circuits.1978,13(5):698-703
    [23]J. W. Bandler, S. H. Chen. Circuit optimization:the state of the art [J]. IEEE Trans. Microwave Theory Tech.1988,36(2):424-443
    [24]Y. Cao, P. Gupta, and A. B. Kahng. Design sensitivities to variability: Extrapolation and assessments in Nanometer VLSI [C]. In IEEE ASIC/SoC Conf.2002,411-415
    [25]J. C. Zhang, M. A. Styblinski. Yield and variability optimization of integrated circuits [M]:Kluwer Academic Publishers.1995,3
    [26]荆明娥.集成电路参数成品率的预测与优化技术研究[D].西安:西安电 子科技大学.博士.2004,5
    [27]J. Xiong, V. Zolotov, and L. He. Robust extraction of spatial correlation [J]. IEEE Transaction on Computer-Aided Design of Integration Circuits and System.2007,26(4):619-631
    [28]B. S. D. Rapid characterization and modeling of pattern dependent variation in chemical mechanical polishing [J]. IEEE Transacion:Semiconductor Manufacturing.1998,11(1)
    [29]R. Brodersen, M. Horowitz, D. Markovic, et al. Methods for true power minimization [C]. In Proceedings of international conference on Computer-aided design.2002,35-40
    [30]Y. Taur, T. H. Ning. Fundamentals of modern VLSI Debices [M]:Cambridge Univ. Press.1998
    [31]S. Mukhopadhyay, K. Roy. Modeling and estimation of total leakage current in nano-scaled CMOS debices considering the effect of parameter variation [C]. In Proceedings of international Symposium on Low Power Electronics and Design.2003,172-175
    [32]B. Koenemann. Test in the era of "What You Is NOT What You Get" [C]. In IEEE International Test Conference.2004
    [33]C. Visweswariah, K. Ravindran, K. Kalafala, et al. First-order incremental block-based statistical timing analysis [C]. In DAC. California, USA.2004, 331-336
    [34]A. Devgan, C. Kashyap. Block-based static timing analysis with uncertainty [C]. In International Conference on Computer Aided Design. California, USA. 2003,607-614
    [35]D. K. Schroder, J. A. Babcock:. Negative bias temperature instability:Road to cross in deep submicron silicon semiconductor manufacturing [J]. Journal of Applied Physics.2003,94(1):1-18
    [36]J. S. Suehle. Ultrathin gate oxide reliability:physical models, statistics, and characterization [J]. IEEE Transactions on Electron Devices.2002,49(6): 958-971
    [37]E. Takeda, K. Ikuzakai, H. Katto, et al. VLSI Reliability challenges:From device physics to wafer systems [J]. Proceedings of The IEEE.1993,81(3): 653-674
    [38]S. W. Director, G. D. Hachtel. The simplicial approximation approach to design centering [J]. IEEE Transactions on Circuits and Systems.1977,24(7): 363-372
    [39]K. K. Low, S. W. Director. A new methodology for the design centering of IC fabrication processes [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.1991,10(7):859-903
    [40]J. W. Bandler, H. L. Abdel-Malek. Optimal centering, tolerancing, and yield determination via updated approximations and cuts [J]. IEEE Transacions on Circuits and Systems.1978,25(10):853-871
    [41]Griffith, R.Chiprout, E. Zhang, et al. A CAD framework for simulation and optimization of high-speed VLSI interconnections [J]. IEEE Trans. On Circuits and Systems Ⅰ:Fundamental Theory and Applications.1992,39(11): 893-906
    [42]戴荣,李仲奎,刘辉.串并行显示拉格朗日有限差分法研究及实现[J].岩石力学与工程学报.2006,25(8):1591-1597
    [43]高本庆.时域有限差分法FDTD Method [M].北京:国防工业出版社.1995
    [44]薄亚明,宋书林,洪伟.有限差分法解电磁场方程的共轭梯度法三角阵预处理器[J].微波学报.2006,22(5):1-6
    [45]K. S. Yee. Numerical solution of initial boundary value problems involving Maxwell's equations in isotropic media [J]. IEEE Trans. Antennas Propagat. 1966,14(3):302-307
    [46]R. K. Gordon, S. H. Fook. Afinite difference approach that employs an asymptotic boundary condition on a rectangular outer boundary for modeling two-dimensional transmission line structures [J]. IEEE Trans. Microwave theory and techniques.1993,41(8):1280-1286
    [47]Liao Cheng, L. Meng, D. Yang, et al. Analysis of the numerical error for time domain MEI absorbing boundary condition [C]. In Asia-Pacific Microwave Conference.2001,839-842
    [48]廖成.时域MEI方法初探[J].电波科学学报.2000,15(3):323-327
    [49]J. O. Jevtic,R. Lee. A theoretical and numerical analysis of the measured equation of invariance [J]. IEEE Trans. Antennas and Propagation.1994, 42(8):1097-1105
    [50]K. K. Mei, P. Pous, Z. Chen, et al. Measured equation of invariance:Anew concept in field computations [J]. IEEE Trans. Antennas and Propagation. 1994,42(3):320-328
    [51]F. Y. Chang. The generalized method of characteristics for waveform relaxationanalysis of lossy coupled transmission lines [J]. IEEE Trans. Microwave Theory Tech.1989,37(12):2028-2038
    [52]J. F. Mao,E. S. Kuh. Fast simulation and sensitivity analysis of lossy transmission lines by the method of characteristics [J]. IEEE Trans. CAS. 1997,44(5):391-401
    [53]J. F. Mao,Z.-F. Li. Analysis of the time response of … cascaded network chain [J]. IEEE Trans. Microave Theory Tech.1992,40:637-645
    [54]F. Romeo and M. Santomauro. ., MTT-35,. Time-domain simulation of n coupled transmission lines [J]. IEEE Trans. Microwave Theory Tech.1987,35: 131-137
    [55]Y. K. Liu. Transient analysis of TEM transmission lines [J]. Proc. IEEE (letters).1968,56:1090-1092
    [56]朱建政,李征帆,徐勤卫.高速集成电路互连线特征法的电导修正时域宏模型[J].上海交通大学学报.1997,31(12):15-18
    [57]徐勤卫,李征帆,毛军发.用修正特征法模型求解告诉VLSI 中有耗互联现安的瞬态响应[J].电子学报.1999,27(2):22-25
    [58]R. Bellman, B. G. Kashef, and J. Casti. Differential quadrature:a technique for the rapid solution of nonlinear partial differential equations [J]. J. Comput. Phys..1972,10:40-52
    [59]C. Shu, Y. T. Chew. On the equivalence of generalized differential quadrature and highest order finite difference scheme [J]. Comput. Methods Appl. Mech. 1998,155:249-260
    [60]X. C. Li, J. F. Mao, and M. Tang. Accurate simulation method for interconnect trees with frequency-dependent transmission line model [C]. In Asia-Pacific Confirence Proceedings Microwave Conference Proceedings.2005,2
    [61]B. Yan, P. Liu, and B. McGaughy. Passive Modeling of Interconnects by Waveform Shaping [C]. In 8th International Symposium on Quality Electronic Design.2007,356-361
    [62]R. Cicchetti, A. Faraone. Transient emission from microstrip interconnects: theoretical formulation and CAD modeling [J]. IEEE Trans. On Electromagnetic Compatibility.1996,38(3):367-375
    [63]袁正宇,李征帆.NILT法求解告诉集成电路芯片内频变互连线的瞬态响应 [J].电路与系统学报.1999,4(4):57-61
    [64]郭裕顺.用基于Chebyshev展开的NILT进行传输线的瞬态分析[J].电子学报.1999,27(8):38-41
    [65]毛军发,李征帆.传输线时域响应分析中的改进型NILT方法[J].电子学报.1995,23(3):55-57
    [66]J. Valch, K. Singhal. Computer Methods for circuit analysis and design [R].V.N.T. Company,1983
    [67]邱关源.电路(第三版)[M].北京:高等教育出版社.2000
    [68]L. Lui, M. S. Nakhla. A resetting algorithm for transient analysis of coupled transmission line circuits [J]. IEEE Trans. MTT.1994,42(3):494-500
    [69]B. Liu, X. Zeng, and Y. F. Su. Block SAPOR:Block second-order arnoldi method for passive order reduction of multi-input multi-output RCS interconnect circuits [C]. In IEEE/ACM Asia and south pacific design automation conference.2005,244-249
    [70]Y. F. Su, J. Wang, X. Zeng, et al. SAPOR:Second-Order Arnoldi method for passive order reduction of RCS Circuits [C]. In IEEE/ACM International conference on computer-aided design.2004,74-79
    [71]R. W. Freund. SPRIM:Structure-Preserving reduced-order interconnect macromodeling [C]. In IEEE/ACM International conference on computer-aided design.2004,80-87
    [72]A. Odabasioglu, M. Celik, and L. Pileggi. Practical considerations for passive reduction of RLC circuits [C]. In International conference on computer-aided design.1999,214-219
    [73]R. W. Freund. Reduced-order modeling techniques based on Krylov subspaces and their use in circuit simulation [J]. Numerical analysis Manuscript, Bell Laboratories.1998
    [74]Z. Bai, P. Feldmann, and R. Freund. Stable and passive reduced-order models based on partial pade approximation via the Lanczos process [J]. Bell Laboratories, Lucent Technologies, Numerical Analysis Manuscript.1997: 3-10
    [75]Z. Bai, P. Feldmann, and R. W. Freund. How to make theoretically passive reduced-order models passive in practice [C]. In IEEE Custom integrated circuits conference.1998
    [76]R. W. Freund, P. Feldmann. The SyMPVL algorithm and its applications to interconnect simulation [C]. In IEEE/ACM International conference on Computer-aided design.1997
    [77]E. Chiprout, M. S. Nakhla. Analysis of interconnect net works using complex frequency hopping (CFH) [J]. IEEE Trans. Computer-aided design of integrated circuits and systems.1995,14(2):186-200
    [78]C. L. Ratzlaff, L. T. Pillage. RICE:Rapid interconnect circuit evaluation using AWE [J]. IEEE Trans. Computer-aided design of integrated circuits and systems.1994,13(6):763-776
    [79]M. M. Alaybeyi, J. Y. Lee, and R. A. Rohrer. Numerical integration algorithms and asymptotic waveform evaluation (AWE) [C]. In IEEE/ACM International conference on computer-aided design.1992,76-79
    [80]L. T. Pillage, R. A. Rohrer. Asymptotic waveform Evaluation for Timing analysis [J]. IEEE Trans. Computer-aided design of integrated circuits and systems.1990,9(4):352-366
    [81]L. Daniel, O. C. Siong, L. S. Chay, et al. Amultiparamter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models [J]. IEEE Transactions on Computer-Aided Design of integrated Circuits and Systems.2004,23(5):678-693
    [82]B. Bond, L. Daniel. Parameterized model order reduction of nonlinear dynamical systems [C]. In IEEE/ACM International conference on computer-aided design.2005,487-494
    [83]S. R. Nassif. Modeling and analysis of manufacturing variations [C]. In IEEE Conference on Custm Integrated Circuits.2001,223-228
    [84]V. Axelrad, J. Kibarian. Statistical aspects of modern IC designs [C]. In 28th European solid-state device research conf. Vordeaux, France.1998,309-321
    [85]S. R. Nassif. Design for variability in DSM technologies [C]. In IEEE Int. Symp. Quality Electronic Design. San Jose, CA.2000,451-454
    [86]J. A. Power, B. Donnellan. Relating statistical mosfet model parmeter variabilities to ic manufacturing process fluctuations enabling realistic worst case design [J]. IEEE Trans. Semiconductor Manufacturing.1994,7(3): 306-318
    [87]S. W. Director, W. Maly. Statistical Approach to VLSI [M]. North-Holland. 1994
    [88]S. Tsukiyama, M. Tanaka, and M. Fukui. Astatistical static timing analysis considering correlations between delays [C]. In Asia and South Pacific Design Automation Conf. Yokohama, Japan.2001,353-358
    [89]A. Agarwal, D. Blaauw, V. Zolotov, et al. Statistical delay computation considering spatial correlations [C]. In Asia and South Pacific Design Automation Conf. Kitakyushu, Japan.2003,271-276
    [90]M. Orshansky, K. Keutzer. Ageneral probabilistic framework for worst case timing analysis [C]. In ACM/IEEE Design Automation Conf. New Orleans, LA.2002,556-561
    [91]S. Naidu. Timing yield calculation using an impulse-train approach [C]. In 15th Int. Conf. VLSI Design. Bangalore, India.2002,219-224
    [92]J. Liou, K. Cheng, S. Kundu, et al. Fast statistical timing analysis by probabilistic event propagation [C]. In ACM/IEEE Design Automation Conf. Las Vegas, NV.2001,661-666
    [93]A. Agarwal, D. Blaauw, V. Zolotov, et al. Computation and refinement of statistical ounds on circuit delay [C]. In ACM/IEEE Design Automation Conf. Anaheim, CA.2003,348-353
    [94]L. Zhang, C. Weijen, H. Yu-Hen, et al. Statistical timing analysis with extended pseudo-canonical timing model [C]. In Design Automation and Test in Europe.2005,952-957
    [95]A. Agarwal, D. Blaauw, and V. Zolotov. Statistical timing analysis for intra-die process variations with spatial correlations [C]. In International Conference on Comprter Aided Design.2003,900-907
    [96]H. Chang, S. S. Sapatnekar. Statistical timing analysis considering spatial correlations using a single PERT-like traversal [C]. In International conference on computer aided design.2003,62-625
    [97]J. A. G. Jess, K. Kalafala, S. R. Naidu, et al. Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits [C]. In ACM/IEEE Design Automation Conference.2003
    [98]A. Gattiker, S. Nassif, R. Dinakar, et al. Timing Yield Estimation from Static Timing Analysis [C]. In Proc. ISQED.2001
    [99]L. Sheffer. Explicit computation of performance as a function of process variation. Int. workshop on Timing Issues in the specification and synthesis of Digital Systems [C]. In TAU.2002
    [100]J. J. Liou. Fast Statistical Timing Analysis by probabilistic event propagation [C]. In DAC.2001
    [101]M. Berkelaar. Statistical Delay calculation, alinear time method [C]. In TAU 97. Austin, TX.1997
    [102]A. Agrwal. Computation and refinement of statiscal bounds on circuit delay [C]. In DAC.2003,348-353
    [103]H. Chang, S. Sapatnekar. Statictical Timng Analysis considering spatial correlations using a sigle pert-like traversal [C]. In ICCAD.2003,621-625
    [104]A. Devgan, C. Kashyap. Block-based Static Timgn Analysis with Uncertainty [C]. In ICCAD.2003,607-614
    [105]Z. Lizheng, W. Chen. Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model [C]. In 42nd Design Automation Conference.2005,83-88
    [106]Y. A. Zhan, J. Strojwas, and X. Li. correlation-aware statistical timing analysis with non-gaussian delay distributions [C]. In 42nd Design Automation Conference.2005,77-82
    [107]Y. Liu, S. R. Nassif, and Pileggi. Model order reduction of rc(l) interconnect including variational analysis [C]. In IEEE/ACM Design Automation Conf. 1999,201-206
    [108]A. Odabasioglu, M. Celik, and L. T. Pileeggi. PRIMA:Passive reduced-order interconnect macromodeling algorithm [C]. In Int. Conf. Computer Aided Design. San Jose, CA.1997,58-65
    [109]X. Li, P. Li. Parameterized interconnect order reduction with explicit-and implicit multi-parameter moment matching for inter/intra-die variations [C]. In IEEE/ACM International conference on computer-aided design.2005, 806-812
    [110]J. Wang, P. Ghanta, and S. Vrudhula. Stochastic analysis of interconnect performance in the presence of process variations [C]. In IEEE/ACM International Conference on Computer Aided Design.2004,880-886
    [111]S. Vrudhula, J. M. Wang, and P. Ghanta. Hermite polynomial based interconnect analysis in the presence of process variations [J]. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems.2006,25(10): 2001-2011
    [112]N. Kankani, V. Agarwal, and J. Wang. A probabilistic analysis of pipelined global interconnect under process variations [C]. In Asia and South Pacific Conference on Design Automation.2006,724-729
    [113]P. Ghanta, S. Vrudhula, and R. Panada. Stochastic power grid analysis considering process variations [C]. In Proceedings of Design, Automation and Test in Europe. Munich:IEEE Computer Society Press.2005,2-9
    [114]S. Kumar, J. Li, and C. Talarico. A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching [C]. In Proceedings of Design, Automation and Test in Europe. Munich:IEEE Computer Society Press.2005,770-775
    [115]P. Ghanta,S. Vrudhula. Variational interconnect delay metrics for statistical timing analysis [C]. In 7th international Symposium on Quality Electronic Design.2006
    [116]蔡懿慈,熊焰,洪先龙等.考虑工艺参数变化的安全时钟布线算法[J].中国科学E辑信息科学.2005,35(8):887-896
    [117]H. Zhu, X. Zeng, and W. Cai. A Sparse Grid based Spectral Stochastic Collocation Method for Variations-Aware Capacitance Extraction of Interconnects under Nanometer Process Technology [C]. In Design, Automation Test in Europe.2007,1514-1519
    [118]R. Unal, E. B. Dean. Tauchi approach to design optimization for quality and cost:an overview [C]. In Annual Conference of the International Society of Parametric Analysis.1991
    [119]D. Bryne, S. Taguchi. The taguchi approach to parameter design [C]. In ASQC Quality Congress Transactions. Anaheim, CA.1986,168
    [120]M. Keramat, R. Kielbasa. Worst case efficiency of latin hypercube sanpling Monte Carlo (LHSMC) yield estimator of electrical circuits [C]. In Circuits and Systems, IEEE International Symposium. Hong Kong.1997,1660-1663
    [121]J. W. Bandler, S. H. Chen. Circuit optimization:the state of the art [J]. IEEE Trans. Microwave Theory and Techniques.1988,36(2):424-443
    [122]M. Keramat, R. Kielbasa. A study of stratified sampling in variance reduction techniques for parametric yield estimation [J]. Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on.1998,45(5): 575-583
    [123]M.-e. Jing, Y. Hao, J.-f. Zhang, et al. Efficient parametric yield estimation of VLSI circuit by uniform design sampling method [J]. Microelectronics Reliability.2005,45(1):155-162
    [124]H. L. Abdel-Malek, A.-k. S. O. Hassan. A boundary gradient search technique and its application in design centering [J]. IEEE Trans on CAD.1999,18(11): 1654-1661
    [125]S. W. Director, G. D. Hachtel. Computationally efficient yield estimation procedures based on simplicial approximation [J]. IEEE Trans on CAS.1978, 25(3):121-129
    [126]S. W. Foo, Y. Lin. Hybrid method of tolerance design [C]. In Electronics Circuits and Systems. Pafos, Cyprus.1999,557-560
    [127]郝跃,荆明娥,马佩军.VLSI集成电路参数成品率及优化研究进展[J].电子学报.2003,31(12A):1971-1974
    [128]M. Conti, P. Crippa, S. Orcioni, et al. Parametric yield optization of MOS IC's affected by device mismatch [C]. In Analog Integrated Circuits and Signal Processing. Netherlands:Kluwer Academic Publishers.2001,181-199
    [129]D. Sinha, N. V. Shenoy, and H. Zhou. Statistical timing yield optimization by gate sizing [J]. IEEE Tranctions on Very Large Scale Integration (VLSI) System.2006,14(10):1140-1146
    [130]L. Xie, A. Davoodi. Roubust estimation of timing yield with partial statistical information on process variations [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.2008,27(12):2264-2276
    [131]R. Chen, H. Zhou. Fast estimation of timing yield bounds for process variations [J]. IEEE Tranctions on Very Large Scale Integration (VLSI) System.2008,16(3):241-248
    [132]S. Zhang, V. Wason, and K. Banerjee. A probabilistic framework to estimate full-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations [C]. In International Symposium on Low Power Electronics and Design. Newport Beach, Caligornia.2004,156-161
    [133]W.-S. Wang, M. Orshansky. Robust estimation of parametric yield under limited descriptions of uncertainty [C]. In IEEE/ACM International Conference on Computer-Aided Design. San Jose, CA.2006,884-890
    [134]K. Agarwal, R. Rao, D. Sylvester, et al. Parametric yield analysis and optimization in leakage dominated technologies [J]. IEEE Tranctions on Very Large Scale Integration (VLSI) System.2007,15(6):613-623
    [135]M. Mani, A. Devgan, M. Orshansky, et al. A statistical algorithm for power-and timing-limited parametric yield optimization of large integrated circuits [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.2007,26(10):1790-1802
    [136]M. Mirsaeedi, M. S. Zamani, and M. Saeedi. Multi-objective statistical yield enhancement using evolutionary algorithm [C]. In 11th Euromicro Conference on Digital System Design Architectures, Method and Tools.2008,472-479
    [137]A. Srivastava, K. Chopra, and S. Shah. A novel approach to perform gate-level yield analysis and optimization considering correlated variations in power and performance [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.2008,27(2):272-285
    [138]S. Bhardwaj, S. B. K. Vrudhula, and D. Blaauw. τAU:Timing analysis under uncertainty [C]. In Proc. International Conference on Computer-Aided Design. California, USA.2003,615-620
    [139]H. Chang, S. S. Sapatnekar. Statistical Timing Analysis Under Spatial Correlations [J]. IEEE Trans. On Computer-Aided Design of Integrated Circuits and Systems.2005,24(9):1467-1482
    [140]R. R. Rao, A. Devgan, D. Blaauw, et al. Parametric yield estimation considering leakage variability [C]. In Proc. the Design Automation Conference. California, USA.2004,442-447
    [141]S. Zhang, V. Wason, and K. Banerjee. A probabilistic framework to estimate full-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations [C]. In Proc. International Symposium on Low Power Electronics and Design. California, USA.2004,156-161
    [142]Srivastava, R. Bai, D. Blaauw, et al. Modeling and analysis of leakage power considering within-die process variations [C]. In Proc. International Symposium on Low Power Electronics and Design.2002,64-67
    [143]S. Narendra, V. De, S. Borkar, et al. Full-chip sub-threshold leakage power prediction model for sub-0.18um CMOS [C]. In Proc. International Symposium on Low Power Electronics and Design.2002,19-23
    [144]W. S. Wang, M. Orshansky. Robust estimation of parametric yield under limited descriptions of uncertainty [C]. In Proc. ICCAD.2006,884-890
    [145]K. R. Heloue, F. N. Najm. Parameterized timing analysis with general delay models and arbitrary variation sources [C]. In Proc. DAC.2008,403-408
    [146]S. Onaissi, F. N. Najm. A linear-time approach for static timing analysis covering all process corners [C]. In Proc. ICCAD.2006,217-224
    [147]J. Sun, J. Li, D. Ma, et al. Chebyshev affine-arithmetic-based parameter yield prediction under limited descriptions of uncertainty [J]. IEEE Trans. On Computer-Aided Design of Integrated Circuits and Systems.2008,27(10): 1852-1866
    [148]K. Heloue, C. Kashyap, and F. Najm. Quantifying robustness metrics in parameterized static timing analysis [C]. In TAU Workshop.2009
    [149]S. K. Tiwary, P. K. Tiwary, and R. A. Rutenbar. Generation of yield-aware pareto surfaces for hierarchical circuit design space exploration [C]. In Proc. DAC.2006,31-36
    [150]H. Cook, K. Skadron. Predictive design space exploration using genetically programmed response surfaces [C]. In Proc. DAC.2008,960-965
    [151]C. Pennetta, L. Reggiani, and G. Trefan. A stochastic approach to failure analysis in electromigration phenomena [J]. Microelectronics Reliability. 1999,39(6):857-862
    [152]Z. H. Li, G. Y. Wu, Y. Y. Wang, et al. Numerical calculation of electromigration under pulse current with Joule heating [J]. IEEE Trans Electron Devices.1999,46(1):70-77
    [153]Mkuhlmann, S. Sapatnekar. Exact and efficient crosstalk estimation [J]. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2001,20(7):858-866
    [154]Q. Yu, E. S. Kuh. Moment computation of lumped and distributed coupled RC tree with application to delay and crosstalk estimation [J]. Proceedings of the IEEE.2001,89(5):772-788
    [155]C. IBM. The AS/X User's Guide [M]. New York:IBM Corporation.1996
    [156]L. NAGEL. A computer program to simulation semiconductor circuits [M]. 1995
    [157]T. K. Tang, M. Nakhla. Analysis of high speed VLSI interconnects using the asymptotic waveform evaluation technique [C]. In Int. Conf. Computer-Aided Design.1990,542-545
    [158]C. L. Ratglaff, N. Gopal, and L. T. Pillage. RICE Rapid interconnect circuit evaluator [C]. In Proc. ACM/IEEE Design Automation conf.1991,555-560
    [159]M. Sriram, S. M. Kang. Efficient Approximation of the time domain response of lossy coupled transmission line trees [J]. IEEE Trans, on Computer Aided Design of Integrated Circuits and Systems.1995,14(8):1013-1024
    [160]W. C. Elmore. The transient response of damped linear networks with particular regard to wideband amplifiers [J]. Journal of Applied Physics.1948, 19(1):55-63
    [161]A. B. Kahng, S. Muddu. An analytical delay for RLC interconnects [J]. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 1997,16(12):1507-1514
    [162]A. B. Kahng, S. Muddu. Two-pole analysis of interconnection trees [C]. In Proceedings of the IEEE Multi-Chip Module Conference.1995,105-110
    [163]Q. Yu, E. S. Kuh. Moment computation of lumped and distributed coupled RC trees with application to delay and crosstalk estimation [J]. Proceedings of the IEEE.2001,89(5):772-788
    [164]L. Yin, L. He. An Efficient Analytical Model of Coupled On-chip RLC Interconnects [C]. In Proceedings of Asia and South Pacific Design Automation Conference. Yokohama:IEEE CAS.2001,385-390
    [165]李建良,蒋勇,汪光先.计算机数值方法[M].南京:东南大学出版社.2000
    [166]Y. I. Ismail, E. G. Friedman. Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems.2000,8(2):195-206
    [167]彭嵘,孙玲玲.深亚微米工艺下互连线的串扰建模[J].杭州电子工业学院学报.2003,23(4):28-32
    [168]吴永卫,孙玲玲.基于耦合RLC树模型的互连串扰估计[J].杭州电子工业学院学报.2004,24(4):56-59
    [169]任英磊,毛军发,李晓春.斜阶跃信号激励下的RLC互连线时延模型[J].微电子学.2005,35(3):290-292
    [170]A. K, D. Sylvester, D. Blaauw, et al. Variational delay metrics for interconnect timing analysis [C]. In 41st design automation conference.2004,381-384
    [171]J. Wang, P. Ghanta, and S. Vrudhula. Stochastic analysis of interconnect performance in the presence of processariations [C]. In IEEE/ACM international conference on computer aided design.2004,880-886
    [172]Y. Liu, L. T. Pileggi, and A. J. Strojwas. Model order-reduction of RC(L) interconnect including variational analysis [C]. In 36th Design Automation Conference.1999,201-206
    [173]N. Wiener. The homogeneous chaos [J]. American Journal of Mathematics. 1938,60(4):897-936
    [174]R. H. Cameron, W. T. Martin. The orthogonal development of non-linear functional in series of Fourier-Hermite functional [J]. the annals of mathematics.1947,48(2):385-392
    [175]R. G. Ghanem, P. D.Spanos. Stochastic Finite Elements:A Spectral Approach [M]. NY:Dover Publications.2003
    [176]B. Wu, J. Zhu, and F. N. Najm. Dynamic range estimation for nonlinear systems [C]. In ACM/IEEE international conference on computer-Aided Design (ICCAD04). San Jose, CA.2004,7-11
    [177]S. Kumar, J. Li, C. Talarico, et al. Aprobabilistic collocation method based statistical gate delay model considering process variations and multiple input switching [C]. In Design, Automation and Test in Europe. Germany:IEEE Computer Society.2005,770-775
    [178]G. H. Golub, J. H. Welsch. Calculation of gauss quadrature rules [J]. Mathematics of Computation.1969,23(106):521-535
    [179]D. Xiu, J. S. Hesthaven. High order collocation method for differential equations with random inputs [J]. SIAM Journal on Scientific Computing. 2005,27(3):1118-1139
    [180]陶俊.纳米尺度集成电路建模与分析方法研究[D].上海:复旦大学.博士.2007
    [181]张瑛,J. M. Wang.工艺参数随机扰动下的传输线建模与分析新方法[J].电子学报.2005,33(11):1959-1964
    [182]V. Mehrotra, S. Sam, D. Boning, et al. A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance [C]. In Proceedings of Design Automation Conference. Los Angeles:IEEE Computer Society Press.2000,172-176
    [183]D. Xiu, G. E. Karniadakis. The Wiener-asky Polynomial Chaos for Stochastic Differential Equations [J]. Society for Industrial and Applied Mathematics. 2002,24(2):619
    [184]梅拉德斯.函数逼近[M].北京:高等教育出版社.1986
    [185]莫国端,刘开第.函数逼近论方法[M].北京:科学出版社.2003
    [186]R. Rao, A. Srivastava, D.Blaauw, et al. Statistical analysis of subthreshold leakage current for VLSI circuits [J]. IEEE Trans. VLSISystems.2004,12(2): 131-139
    [187]M. Orshansky, A. Bandyopadhyay. Fast statistical timing analysis handling arbitrary delay correlations [C]. In Proc. of DAC.2004,337-342
    [188]M. Mani, M. Orshansky. A new statistical optimization algorithm for gate sizing [C]. In Proc. of ICCD.2004,272-277
    [189]S. H. Choi, B. C. Paul, and K. Roy. Novel sizing algorithm for yield improvement under process variation in nanometer technology [C]. In Proc. of DAC.2004,454-459
    [190]J. Stolfi, L. H. Figueiredo. Self-validated numerical methods and applications [C]. In Brazilian Mathematics Colloquium Monograph. Rio De Janeiro, Brazil. 1997
    [191]C. E. Pearson. Handbook of Applied Mthematics:Selected Results and Methods [M]:Van Nostrand Reinhold.1983
    [192]W. Feller. An introduction to Provavility Theory and Its Applications [M]. Wiley and Sons.1968
    [193]S. Ferson, V. Kreinovich, L. Ginzburg, et al. Construction probability boxes and Dempster-Shafer structures [R].S. Report,2002
    [194]D. Berleant, L. Xie, and J. Zhang. Statool:atool for Distribution Envelope Determination (DEnv), an interval-based algorithm for arithmetic on random variables [C]. In Reliable Compution.2003,91-108
    [195]R. Williamson, T. Downs. Probabilistic arithmetic Ⅰ:numerical methods for calculating convolutions and dependency bounds [C]. In Int J. of Approximate Reasoning.1990,89-108
    [196]H. M. Reagan, S. Ferson, and D. Berleant. Equivalence of methods for uncertainty propagation of real-valued random variables [C]. In Int. J. of Approximate Reasoning.2004,1-30
    [197]W. S. Wang, M. Orshansky. Robust estimation of parametric yield under limitd descriptions of uncertainty [C]. In Proc. of ICCAD. San Jose, CA.2006, 5-9
    [198]I. Y. Kin, O. L. d. Weck. Adaptive weighted sum method for multi-objective optimization [J]. Structural and Multidisciplinary Optimization.2006,31(2): 105-116
    [199]I. Y. Kin, O. L. d. Weck. Adaptive weighted sum method for bi-objective optimization:Pareto front generation [J]. Structural and Multidisciplinary Optimization.2005,29(2):149-158
    [200]S. K. Tiwary, P. K. Tiwary, and R. A. Rutenbar. Generation of yield-aware Pareto surfaces for hierarchical circuit design space exploration [C]. In Proc. of DAC.2006,31-36
    [201]V. Agarwal. Yield optimization of digital circuits by genetic algorithm and splitting technique [D]. Arizona:The University of Arizona. M. S.2006
    [202]K. Roy, S. Prasad. Circuit Activity based logic synthesis for low power reliable operations [C]. In IEEE Trans. On VLSI Systems.1993,503-513
    [203]M. C. Jeng. Design and Modeling of Deep Submicrometer MOSFETS [R].R.N.E. Electronic Research Laboratory, University of California, Berkeley,1990
    [204]Z. Chen. Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks [C]. In Proc. of ISLPED.1998
    [205]S. Narendra, S. B. V. De, D. Atoniadis, et al. Full-chip subthreshold leakage power prediction model for sub-0.18um CMOS [C]. In ISLPED.2002
    [206]R. Rao, A. Srivastava, D. Blaauw, et al. Statistical estimation of leakage current considering inter-and intra-die process variation [C]. In ISLPED.2003
    [207]H. Su. Full-chip leakage estimation considering power supply and temperature variations [C]. In Proc. of ISLPED.2003
    [208]C. Visweswariah. Death, taxes and failing chips [C]. In Proc. of DAC.2003, 343-347
    [209]M. Mani, A. Devgan, and M. Orshansky. An efficient algorithm for statistical minimization of total power under timing yield constraints [C]. In Proc. of DAC.2005,309-314
    [210]K. Cao. BSIM4 Gate leakage model including source-drain partition [C]. In Electron Devices Meeting,2000. IEDM Technical Digest. IEEE International. 2000,815-818
    [211]A. Raychowdhury, S. Mukhopadhyay, and K. Roy. Modeling and estimation of leakage in sub-90nm devices [C]. In Proc. of Int. Conf. on VLSI Design. 2004
    [212]H. Godwin. Inequalities on Distribution Functions, Haffner [J].1964
    [213]Predictive Technology Model website. [EB/OL]. Available: http://www.eas.asu.edu/-ptm.
    [214]M. Li. Robust optimization and sensitivity analysis with multi-objective genetic algorithms:single-and multi-disciplinary applications [D]. Maryland: University of Maryland. ph. D.2007
    [215]S. Gunawan, S. Azarm. Afeasibility robust optimization method using a sensitivity region concept [J]. Journal of Mechanical design.2005,127(5): 858-868
    [216]S. Gunawan, S. Azarm. Multi-objective robust optimization using a sensitibity region concept [J]. Structural and Multidisciplinary optimization.2005,29(1): 50-60
    [217]S. Gunawan, S. Azarm. Non-gradient based parameter sensitivity estimation for single objective robust design optimization [J]. Journal of Mechanical Design.2004,126(3):395-402
    [218]M. Li, G. Li, and S. Azarm. A kriging metamodel assisted multi-objectibe genetic algorithm for design optimization [J]. Journal of Mechanical Design. 2008,130(3)
    [219]M. Li, S. Azarm, and V. Aute. A multi-objective genetic algorithm for robust design optimization [C]. In Genetic and Evolutionary Computation Conference.2005
    [220]M. Li, S. Azarm, and A. Boyars. A new deterministic approach using sensitivity region measures for multi-objective and feasibility robust design optimization [J]. Journal of Mechanical Design.2006,128(4):874-883
    [221]A. J. Booker, J. E. D. Jr, P. D. Frank, et al. A rigorous framework for optimization of expensive functions by surrogates [J]. Structural Optimization. 1999,17(1):1-13
    [222]A. J. Booker, J. E. D. Jr, P. D. Frank, et al. A rigorous framework for optimization of expensive functions by surrogates [R].I.R. No.98-47,1998
    [223]C. Audet, A. J. Booker, and J. E. D. Jr. A surrogate-model-based method for constrained optimization [C]. In Symposium on Multidisciplinary Analysis and Optimization.2000
    [224]J. W. Bandler, K. Madsen. Surrogate modeling and space mapping for engineering optimization [J]. Journal of Optimization and Engineering.2001, 2(4):367-368
    [225]M. H. Bakr, J. W. Bandle, K. Madsen, et al. An introduction to the space mapping technique [J]. Journal of Optimization and Engineering.2001,2(4): 369-384
    [226]C. Audet, J. E. D. Jr. Pattern search algorithms for mixed variable programming [J]. SIAM Journal on Optimization.2000,11(3):573-594

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700