用户名: 密码: 验证码:
集成电路中硬件木马防御技术研究
详细信息    查看全文 | 推荐本文 |
  • 英文篇名:Research on Defense Technology Against Hardware Trojans in Integrated Circuits
  • 作者:赵毅强 ; 何家骥 ; 杨松 ; 刘沈丰
  • 英文作者:ZHAO Yiqiang;HE Jiaji;YANG Song;LIU Shenfeng;School of Electronic Information Engineering,Tianjin University;
  • 关键词:集成电路 ; 硬件木马 ; 防御技术 ; 旁路分析 ; 安全性设计
  • 英文关键词:Integrated Circuits(IC);;hardware trojans;;countermeasures technology;;side-channel analysis;;security design
  • 中文刊名:JSJC
  • 英文刊名:Computer Engineering
  • 机构:天津大学电子信息工程学院;
  • 出版日期:2015-03-05 14:39
  • 出版单位:计算机工程
  • 年:2016
  • 期:v.42;No.459
  • 基金:国家自然科学基金资助项目“无参考模型的硬件木马检测技术研究”(61376032);; 天津市自然科学基金资助重点项目“硬件木马检测技术的研究”(12JCZDJC20500)
  • 语种:中文;
  • 页:JSJC201601023
  • 页数:6
  • CN:01
  • ISSN:31-1289/TP
  • 分类号:134-138+143
摘要
随着集成电路(IC)设计、制造、测试等环节相分离的趋势进一步增强,使得IC中被植入硬件木马的可能性增大。介绍硬件木马概念及危害,研究硬件木马防御技术,并从硬件木马检测和集成电路安全性设计2个方面进行阐述,分析硬件木马检测中的旁路分析技术、集成电路安全性设计中的电路增强设计技术。分析结果表明,为最大限度地保障集成电路的安全,设计者在电路设计时需考虑到电路的安全性问题,同时在芯片加工完成后开展硬件木马的检测工作。
        With the further improvement of design manufacture and test separation in Integrated Circuits(IC) hardware trojans can be implemented as modifications to IC,and it raises numerous concerns regarding possible threats.This paper briefly explains the concept of hardware trojans,and explains the countermeasures technology of hardware trojan attacks and the importance of conducting out the countermeasures against hardware trojans.Also it introduces the classification of the state-of-art countermeasures,deeply analyzes the side-channel analysis method and the design for security method.Analysis results show that the designers must consider circuit security problem in designing circuits in onder to the security of integrated circuits,meanwhile they begin test works ensure after chips are completed.
引文
[1]Agrawal D,Baktir S,Karakoyunlu D,et al.Trojan Detection Using IC Fingerprinting[C]//Proceedings of IEEE Symposium on Security and Privacy.Washington D.C.,USA:IEEE Press,2007:296-310.
    [2]Tehranipoor M,Koushanfar F.A Survey of Hardware Trojan Taxonomy and Detection[C]//Proceedings of IEEE Conference on Design&Test of Computers.Washington D.C.,USA:IEEE Press,2009:10-25.
    [3]Bhunia S,Hsiao M S,Banga M,et al.Hardware Trojan Attacks:Threat Analysis and Countermeasures[J].Proceedings of the IEEE,2014,102(8):1229-1247.
    [4]刘长龙,赵毅强,史亚峰,等.基于相关性分析的硬件木马检测方法[J].计算机工程,2013,39(9):183-185,189.
    [5]冯紫竹,赵毅强,刘长龙.一种基于时序型硬件木马的IP版权保护结构[J].计算机工程,2014,40(9):19-22.
    [6]Rostami M,Koushanfar F,Rajendran J,et al.Hardware Security:Threat Models and Metrics[C]//Proceedings of International Conference on Computer-aided Design.Washington D.C.,USA:IEEE Press,2013:819-823.
    [7]Sunar B.Rise of the Hardware Trojans[C]//Proceedings of the 17th IEEE International On-line Testing Symposium.Washington D.C.,USA:IEEE Press,2011:138-138.
    [8]Moore T,Jarvis J.Failure Analysis and Stress Simulation in Small Multichip BGAs[C]//Proceedings of the 38th IEEE International Reliability Physics Symposium.Washington D.C.,USA:IEEE Press,2000:217-224.
    [9]罗宏伟.集成电路芯片安全隐患检测技术[J].半导体技术,2008,32(12):1094-1097.
    [10]Chakraborty R S,Wolff F,Paul S,et al.MERO:AStatistical Approach for Hardware Trojan Detection[C]//Proceedings of CHES’09.Berlin,Germany:Springer,2009:396-410.
    [11]Jha S.Randomization Based Probabilistic Approach to Detect Trojan Circuits[C]//Proceedings of the 11th IEEE High Assurance Systems Engineering Symposium.Washington D.C.,USA:IEEE Press,2008:117-124.
    [12]Banga M,Chandrasekar M,Fang L,et al.Guided Test Generation for Isolation and Detection of Embedded Trojans in Ics[C]//Proceedings of the 18th ACM Great Lakes Symposium on Very Large Scale Integration.New York,USA:ACM Press,2008:363-366.
    [13]Cao Yuchen,Zhou Yongbin,Yu Zhenmei.On the Negative Effects of Trend Noise and Its Applications in Sidechannel Cryptanalysis[J].Chinese Journal of Electronics,2014,23(2).
    [14]Aarestad J,Acharyya D,Rad R,et al.Detecting Trojans Through Leakage Current Analysis Using Multiple Supply Pads[J].IEEE Transactions on Information Forensics and Security,2010,5(4):893-904.
    [15]Narasimhan S,Du D,Chakraborty R S,et al.Hardware Trojan Detection by Multiple-parameter Side-channel Analysis[J].IEEE Transactions on Computers,2013,62(11):2183-2195.
    [16]Banga M,Hsiao M S.ARegion Based Approach for the Identification of Hardware Trojans[C]//Proceedings of IEEE International Workshop on Hardware-oriented Security and Trust.Washington D.C.,USA:IEEE Press,2008:40-47.
    [17]刘长龙,赵毅强,史亚峰,等.基于侧信道分析的硬件木马建模与优化[J].华中科技大学学报:自然科学版,2013,41(2):53-57.
    [18]张鹏,王新成,周庆.基于电磁辐射信号分析的芯片硬件木马检测[J].电子学报,2013,42(2):341-346.
    [19]Kumar P,Srinivasan R.Detection of Hardware Trojan in SEA Using Path Delay[C]//Proceedings of IEEE Conference on Electrical,Electronics and Computer Science.Washington D.C.,USA:IEEE Press,2014:1-6.
    [20]Li J,Lach J.At-speed Delay Characterization for IC Authentication and Trojan Horse Detection[C]//Proceedings of IEEE International Workshop on Hardwareoriented Security and Trust.Washington D.C.,USA:IEEE Press,2008:8-14.
    [21]Chakraborty R S,Bhunia S.Security Against Hardware Trojan Attacks Using Key-based Design Obfuscation[J].Journal of Electronic Testing,2011,27(6):767-785.
    [22]Schrittwieser S,Katzenbeisser S,Kieseberg P,et al.Covert Computation——Hiding Code in Code Through Compiletime Obfuscation[J].Computers&Security,2014,42(1):13-26.
    [23]Xiao K,Tehranipoor M.BISA:Built-in Self-authentication for Preventing Hardware Trojan Insertion[C]//Pro-ceedings of IEEE International Workshop on Hardware-oriented Security and Trust.Washington D.C.,USA:IEEE Press,2013:45-50.
    [24]Bhunia S,Abramovici M,Agrawal D,et al.Protection Against Hardware Trojan Attacks:Towards a Com-prehensive Solution[J].IEEE Design&Test,2013,30(3):6-17.
    [25]Zhang X,Tehranipoor M.RON:An On-chip Ring Oscillator Network for Hardware Trojan Detection[C]//Proceedings of DATE’11.Washington D.C.,USA:IEEE Press,2011:1-6.
    [26]Salmani H,Tehranipoor M,Plusquellic J.A Novel Technique for Improving Hardware Trojan Detection and Reducing Trojan Activation Time[J].IEEE Transactions on Very Large Scale Integration Systems,2012,20(1):112-125.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700