Dynamic core allocation for energy efficient video decoding in homogeneous and heterogeneous multicore architectures
详细信息    查看全文
文摘

Present dynamic core allocation for video decoding on homogeneous multicores.

Present an energy-efficient video decoding method for heterogeneous multicores.

Show energy savings with dynamic core allocation.

Analyze factors influencing frame decoding time.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700