Energy-efficient video decoding schemes for embedded handheld devices
详细信息    查看全文
  • 作者:Yen-Lin Chen ; Ming-Feng Chang ; Wen-Yew Liang
  • 关键词:DVFS ; Embedded platform ; Portable devices ; Low power software design
  • 刊名:Multimedia Tools and Applications
  • 出版年:2016
  • 出版时间:March 2016
  • 年:2016
  • 卷:75
  • 期:6
  • 页码:3281-3300
  • 全文大小:2,101 KB
  • 参考文献:1.ARM.com Cortex-A15 Performance Monitor Unit. http://​infocenter.​arm.​com/​help/​index . Accessed October 2014
    2.Asaduzzaman A, Gunasekara GH (2013) Power and performance analysis of multimedia applications running on low-power devices by cache modeling. Multimedia Tools Appl 1–24, on-line published. doi: 10.​1007/​s11042-012-1350-3
    3.Bankoski J, Wilkins P, Xu Y (2011) Technical overview of VP8, an open source video codec for the web. In: Proc. IEEE International Conference on Multimedia and Expo 1–6
    4.Barnes RD, Nystrom EM, Merten MC, Hwu WW (2002) Vacuum packing: extracting hardware-detected program phases for post-link optimization. In: Proc. International Symposium on Microarchitecture, pp. 233–244
    5.Chen Y-L, Chang M-F, Liang W-Y (2014) FT-DVFS open source. Release on GitHub. https://​github.​com/​winner121/​FT-DVFS . Accessed November 2014
    6.Choi J, Cha H (2006) Memory-aware dynamic voltage scaling for multimedia applications. IEE Proc Comput Digit Tech 153(2):130–136CrossRef
    7.Choi J, Cha H (2010) System-level power management for system-on-a-chip -based mobile devices. IET Comput Digit Tech 4(5):400–409CrossRef
    8.Choi K, Dantu K, Cheng W-C, Pedram M (2002) Frame-based dynamic voltage and frequency scaling for a MPEG decoder. In: Proc. IEEE/ACM International Conference on Computer-aided Design, NY, USA, pp. 732–737
    9.Choi K, Soma R, Pedram M (2005) Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. IEEE Trans Computer Aided Des Integr Circ Syst 24(1):18–28CrossRef
    10.Contreras G, Martonosi M (2005) Power prediction for Intel XScale® processors using performance monitoring unit events. In: Proc. International Symposium on Low Power Electronics and Design 221–226
    11.Dhodapkar A, Smith JE (2002) Managing multi-configuration hardware via dynamic working set analysis. In: Proc. International Symposium on Computer Architecture
    12.Gao H, Qiao F, Yang H (2012) Design and implementation of motion compensator in memory reduced HDTV decoder with embedded compression engine. Multimedia Tools Appl 56:597–614CrossRef
    13.Isci C, Contreras G, Martonosi M (2006) Live, runtime phase monitoring and prediction on real systems with application to dynamic power management. In: Proc. IEEE/ACM International Symposium on Microarchitecture 359–370
    14.Jeong S, Ahn H (2011) Optimal power reduction based on DVFS algorithm for video decoders. In: Proc ACM Symposium on Research in Applied Computation, NY, USA, pp. 107–109
    15.Jha NK (2005) Low-power system scheduling, synthesis and displays. IET Comput Digit Tech 152(3):344–352CrossRef
    16.Lee B, Nurvitadhi E, Dixit R, Yu C, Kim M (2005) Dynamic voltage scaling techniques for power efficient video decoding. J Syst Archit 51(10–11):633–652CrossRef
    17.Liang W-Y, Chang M-F, Chen Y-L, Lai C-F (2013) Energy efficient video decoding for the Android operating system. In: Proc. IEEE International Conference on Consumer Electronics 344–345
    18.Liang W-Y, Chen S-C, Chang Y-L, Fang J-P (2008) Memory-aware dynamic voltage and frequency prediction for portable devices. In: Proc. IEEE Int. Conf. Embedded and Real-Time Computing Systems and Applications 229–236
    19.Ma Z, Hu H, Wang Y (2011) On complexity modeling of H.264/AVC video decoding and its application for energy efficient decoding. IEEE Trans Multimedia 13(6):1240–1255MathSciNet CrossRef
    20.Mesarina M, Turner Y (2002) Reduced energy decoding of MPEG streams. ACM/SPIE Multimedia Computing and Networking, pp. 202–213
    21.Mochocki B, Rajan D, Sharon Hu X, Poellabauer C, Otten K, Chantem T (2007) Network-aware dynamic voltage and frequency scaling. In: Proc. IEEE Real-Time and Embedded Technology and Applications Symposium
    22.NVIDIA (2014) Jetson TK1 Development Kit. http://​www.​nvidia.​com/​object/​jetson-tk1-embedded-dev-kit.​html . Accessed October 2014
    23.Palladi V, Starikovskiy A (2006) The ondemand governor: past, present and future. Proc Linux Symp 2:223–238
    24.Park SO, Lee JK, Park JH, Kim SJ (2012) Adaptive power management system for mobile multimedia device. IET Commun 6(11):1407–1415MathSciNet CrossRef
    25.Poellabauer C, Singleton L, Schwan K (2005) Feedback-based dynamic voltage and frequency scaling for memory-bound real-time applications. In: Proc. IEEE Real Time on Embedded Technology and Applications Symposium 234–243
    26.Pouwelse J, Langendoen K, Sips H (2001) Dynamic voltage scaling on a low-power microprocessor. In: Proc. International Conference on Mobile Computing and Networking, NY, USA, 251–259
    27.Sakurai T, Newton AR (1990) Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J Solid State Circuits 25:584–594CrossRef
    28.Sherwood T, Sair S, Calder B (2003) Phase tracking and prediction. In: Proc. International Symposium on Computer Architecture 336–347
    29.Snowdon DC, Linden GVD, Petters S, Heiser G (2007) Accurate run-time prediction of performance degradation under frequency scaling. In: Proc. Workshop on Operating System Platforms for Embedded Real-Time Applications 58–64
    30.Snowdon DC, Petters SM, Heiser G (2007) Accurate on-line prediction of processor and memory energy usage under voltage scaling. In: Proc. ACM & IEEE Int. Conf. Embedded Software 84–93
    31.Weissel A, Bellosa F (2006) Self-learning hard disk power management for mobile devices. In: Proc. International Workshop on Software Support for Portable Storage 33–40
    32.Xia F, Tian Y-C, Sun Y, Dong J (2008) Control-theoretic dynamic voltage scaling for embedded controllers. IET Comput Digit Tech 377–385
    33.Xiph.org Video Test Media. http://​media.​xiph.​org/​video/​derf/​ . Accessed March 2014
  • 作者单位:Yen-Lin Chen (1)
    Ming-Feng Chang (1)
    Wen-Yew Liang (1)

    1. Department of Computer Science and Information Engineering, National Taipei University of Technology, Taipei, 106, Taiwan
  • 刊物类别:Computer Science
  • 刊物主题:Multimedia Information Systems
    Computer Communication Networks
    Data Structures, Cryptology and Information Theory
    Special Purpose and Application-Based Systems
  • 出版者:Springer Netherlands
  • ISSN:1573-7721
文摘
Dynamic voltage and frequency scaling (DVFS) is an effective technique for reducing power consumption. Because of the increasing popularity of multimedia applications for portable consumer electronic devices, the importance of reducing their power consumption has become crucial. This paper proposes a table-based DVFS mechanism for frame decoding that can effectively reduce the power consumption of a processor by exploiting the frame-decoding complexity features. This proposed table-based DVFS predictor requires no prior knowledge on video decoders, and can be flexibly applied on different video codecs. This study implemented the table-based DVFS predictor on the PXA270 embedded platform and all benchmarks were encoded into various video coding formats, including H.264, VP8 and WMV formats. In addition, the proposed DVFS predictor was also ported on a modern platform NVIDIA JETSON TK1, and has demonstrated that the proposed algorithm can provide significant energy saving performance on high definition (HD) videos. The experimental results demonstrate that the energy consumption of decoding videos can be reduced from 6 to 21 %, whereas the frame drop rate is less than 3 %.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700