MEMS中基底和薄膜的CMP制造技术
详细信息    查看全文 | 推荐本文 |
  • 英文篇名:Fabrication of substrate and film in MEMS using CMP
  • 作者:曾毅波 ; 张杰 ; 许马会 ; 郝锐 ; 沈杰男 ; 周辉 ; 郭航
  • 英文作者:ZENG Yi-bo;ZHANG Jie;XU Ma-hui;HAO Rui;SHEN Jie-nan;ZHOU hui;GUO Hang;Pen-Tung Sah Institute of Micro-Nano Science and Technology,Xiamen University;School of Aerospace Engineering,Xiamen University;School of Physical Science and Technology,Xiamen University;
  • 关键词:化学机械抛光 ; 微机电系统 ; 区域压力调整 ; 终点检测 ; 表面粗糙度
  • 英文关键词:Chemical & Mechanical Polishing(CMP);;MEMS;;adjustment of zone backing pressure;;end point detection;;surface roughness
  • 中文刊名:GXJM
  • 英文刊名:Optics and Precision Engineering
  • 机构:厦门大学萨本栋微米纳米科学技术研究院;厦门大学航空航天学院;厦门大学物理科学与技术学院;
  • 出版日期:2018-06-15
  • 出版单位:光学精密工程
  • 年:2018
  • 期:v.26
  • 基金:福建省高校产学合作项目资助项目(No.2015H6021)
  • 语种:中文;
  • 页:GXJM201806019
  • 页数:12
  • CN:06
  • ISSN:22-1198/TH
  • 分类号:169-180
摘要
化学机械抛光(Chemical&Mechanical Polishing,CMP)工艺已运用于微机电系统(Micro-Electro-Mechanical System,MEMS)中,并逐渐成为研制高品质微纳器件不可或缺的一道关键技术。区域压力调整、抛光终点检测等技术已经引入到CMP工艺,确保片内不均匀性(Within-wafer Nonuniformity,WIWNU)小于5%,同时有效减小"蝶形"和"腐蚀"等抛光缺陷。CMP在MEMS领域中的运用工艺过程更为复杂,抛光对象更为多元,表面质量要求更高。结合硅、介质层、石英、锗、铂和聚合物等自行开发的CMP工艺以及抛光后清洗处理,详细讨论和阐述CMP工艺如何运用于MEMS领域。实验结果表明,采用CMP工艺,结合抛光液改进和兆声清洗,不仅可以实现薄膜的全局平坦化,而且可以获得高品质的超薄基底、无损的硬质应变薄膜和用于低温直接键合的表面粗糙度小于0.5nm键合表面。CMP技术是研制高品质的可应用于MEMS器件的基底和薄膜的有效手段。
        The chemical and mechanical polishing(CMP)process has already been applied to micro-electro-mechanical systems(MEMSs),and it has become an indispensable and key technology for developing high-quality micro-and nano-devices.The introduction of zonal backing pressure and end point detection during the CMP process can not only guarantee a within-wafer nonuniformity of less than 5%,but it can also effectively minimize polish defects,including dishing and erosion.The application of CMP to MEMS is more challenging in terms of complexity,target selection,and surface quality,compared to its application to semiconductors.Together with the self-developed CMP process for silicon,dielectric layers,quartz,germanium,platinum,and polymers,the application of CMP technology in the MEMS field is discussed and elaborated in detail.The experimental results show that when the CMP process is combined with slurry improvement and megasonic cleaning methods,global film planarization is observed;moreover,a high-quality ultra-thin substrate,a hard free-damage strain film,and a bonding surface with a surface roughness of less than 0.5 nm for low-temperature direct bonding are obtained.CMP technology allows for the effective fabrication of a high-quality substrate and film for MEMS devices.
引文
[1]HOWARD L,PETER B,WILLIAM C,et al..Integration of chemical-mechanical polishing into CMOS integrated circuit manufacturing[J].Thin Solid Films,1992,220(1):1-7.
    [2]许雪峰,马冰迅,黄亦申,等.利用复合磨粒抛光液的硅片化学机械抛光[J].光学精密工程,2009,17(7):1587-1593.XU X F,MA B X,HUANG Y S,et al..Error analysing and approaches of improving measuring precision in image measuring system[J].Opt.Precision Eng.,2009,17(7):1587-1593.(in Chinese)
    [3]白林山,熊伟,储向峰,等.SiO2/CeO2复合磨粒的制备及在蓝宝石晶片抛光中的应用[J].光学精密工程,2014,22(5):1289-1295.BAI L SH,XIONG W,CHU X F,et al..Preparation of nano SiO2/CeO2 composite particles and their applications to CMP on sapphire substrates[J].Opt.Precision Eng.,2014,22(5):1289-1295.(in Chinese)
    [4]刘德福,陈涛,陈广林,等.软性粒子抛光石英玻璃的材料去除机理[J].光学精密工程,2016,24(7):1623-1631.LIU D F,CHEN T,CHEN G L,et al..Material removal mechanism for fused glass by using soft particles[J].Opt.Precision Eng.,2016,24(7):1623-1630.(in Chinese)
    [5]ZHANG B C,LEI H,CHEN Y.Preparation of Ag2O modified silica abrasives and their chemical mechanical polishing performances on sapphire[J].Friction,2017,9:1-8.
    [6]XU Q Z,FANG J J,CHEN L.A chip-scale chemical mechanical planarization model for copper interconnect structures[J].Microelectronic Engineering,2016,149(C):14-24.
    [7]居志兰,朱永伟,王建彬,等.抛光介质对固结磨料化学机械抛光水晶的影响[J].光学精密工程,2013,21(4):955-962.JU ZH L,ZHU Y W,WANG J B,et al..Atomic step morphology research of LED sapphire substrate polishing surface and its periodicity[J].Opt.Precision Eng.,2013,21(4):955-962(in Chinese)
    [8]周艳,潘国顺,史晓磊,等.LED蓝宝石衬底抛光表面原子台阶形貌及其周期性研究[J].光学精密工程,2017,25(1):100-106.ZHOU Y,PAN G SH,SHI X L,et al..Atomic step morphology research of LED sapphire substrate polishing surface and its periodicity[J].Opt.Precision Eng.,2017,25(1):100-106.(in Chinese)
    [9]吕玉山,王军,张辽远,等.护环对硅片抛光表面压强分布和轮廓的影响[J].光学精密工程,2008,16(4):689-695.LU Y SH,WANG J,ZHANG L Y,et al..Effect of retaining ring on pressure distribution and profile of polishing wafer surface[J].Opt.Precision Eng.,2008,16(4):689-695.(in Chinese)
    [10]ZEIDLER D,TNER M,DRESCHER K.Endpoint detection method for CMP of copper[J].Microelectronic Engineering,2000,50(1):411-416.
    [11]ALLEN R,CHEN C,TRIKAS T,et al..In-situ CMP copper endpoint control system[C].IEEE International Symposium on Semiconductor Manufacturing,San Jose,America:ISSM,2001:121-135.
    [12]BIBBY T,HOLLAND K.Endpoint detection for CMP[J].Journal of Electronic Materials,1998,27(10):1073-1081.
    [13]HE A D,LIU B,SONG Z T,et al..Endpoint detection of Ge2Sb2Te5during chemical mechanical planarization[J].Applied Surface Science,2013,283(14):304-308.
    [14]ZAKOUR S B,TALEB H.Using discrete wavelet analysis and sequential test to detect the endpoint in CMP process[J].International Journal of Computer Applications,2013,42(13):33-40.
    [15]ZENG Y B,ZHANG J,ZHOU H,et al..A new processing technique for fabrication of ultra-thin wafer[J].The International Journal of Advanced Manufacturing Technology,2018,1-12.
    [16]PLOβL A,KRAUTER G.Wafer direct bonding:tailoring adhesion between brittle materials[J].Materials Science&Engineering R Reports,1999,25(1-2):1-88.
    [17]曾毅波,刘畅,陈观生,等.运用研磨和化学机械抛光技术制备高品质的石英薄膜[J].传感技术学报,2013,26(1):1-6.ZENG Y B,LIU C,CHENG G S,et al..HighQuality quartz thin film prepared by lapping and chemical&mechanical polishing technology[J].Chinese Journal of Sensors And Actuators,2015,13(3):179-185.(in Chinese).
    [18]KIM N H,KO P J,KANG S K,et al..Platinum chemical mechanical polishing(CMP)characteristics for high density ferroelectric memory applications[J].Microelectronic Engineering,2007,84(11):2702-2706.
    [19]EIN-ELI Y,ABELEV E,STAROSVETSKY D.Electrochemical aspects of copper chemical mechanical planarization(CMP)in peroxide based slurries containing BTA and glycine[J].Electrochimica Acta,2004,49(9):1499-1503.
    [20]LEE H S,LEE D S,JEONG H D.Mechanical aspects of the chemical mechanical polishing process:A review[J].International Journal of Precision Engineering and Manufacturing,2016,17(4):525-536.
    [21]SRINIVASAN R,DANDU P V R,BABUB S V.Shallow Trench Isolation Chemical Mechanical Planarization:A Review[J].Journal of Solid State Science and Technology,2015,4(11):5029-5039.
    [22]YANG J C,PENIGALAPATIL D,CHAO T F,et al..Challenges in Chemical Mechanical Planarization defects of 7nm device and its improvement opportunities[C].China Semiconductor Technology International Conferenceg,Shanghai,China:CSTIC,2017:1-3.
    [23]乔辉,陈心恬,赵水平,等.化学机械抛光产生的碲镉汞材料亚表面损伤层的研究[J].红外与激光工程,2016,45(12):1-5.QIAO H,CHEN X T,ZHAO S P,et al..Study of the sub-surface damage of HgCdTe induced by chemical-mechanical polishing method[J].Infrared and Laser Engineering,2016,45(12):1-5.(in Chinese)
    [24]高绮.纳米聚集氧化硅固定磨料抛光布的抛光特性[J].光学精密工程,2016,24(10):2490-2497.GAO Q.Polishing characteristics of fixed-abrasive pad by using nano-aggregate silica[J].Opt.Precision Eng.,2016,24(10):2490-2497.(in Chinese)

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700