基于FPGA的出租车计费系统设计
详细信息    查看全文 | 推荐本文 |
  • 英文篇名:DESIGN OF TAXI BILLING SYSTEM BASED ON FPGA
  • 作者:任立民
  • 英文作者:REN Li-min;School of Mechanical and Automotive Engineering, Nanyang Institute of Technology;
  • 关键词:出租车计费 ; VHDL ; FPGA ; Quartus ;
  • 英文关键词:taxi charge;;Very-high-speed integrated circuit hardware description language;;Field-Programmable Gate Array;;Quartus Ⅱ
  • 中文刊名:NYLG
  • 英文刊名:Journal of Nanyang Institute of Technology
  • 机构:南阳理工学院机械与汽车工程学院;
  • 出版日期:2019-03-25
  • 出版单位:南阳理工学院学报
  • 年:2019
  • 期:v.11;No.62
  • 语种:中文;
  • 页:NYLG201902010
  • 页数:4
  • CN:02
  • ISSN:41-1404/Z
  • 分类号:55-58
摘要
本文基于FPGA芯片EP4CE6F17C8N进行了出租车计费系统的设计。本设计是利用硬件描述语言VHDL在Quartus II软件平台上进行设计并通过调用第三方软件Modelsim进行仿真实现了出租车计费系统的功能。该计费系统不仅实现了出租车按里程计费功能,还模拟了出租车启动、暂停和停止等状态。与传统的计费系统相比较,本设计不但体积小、功能强,并且可移植性高,易于开发,可靠性更高。
        Based on FPGA chip EP4 CE6 F17 C8 N, the taxi billing system is designed in this paper. The hardware description language VHDL is used to design the system on Quartus II software platform and realizes the function of taxi meter by calling the third-party software Modelsim for simulation. This taxi billing system not only realizes the function of taxi charging by mileage, but also simulates the starting, pausing and stopping states of taxi.Compared with the traditional charging system, this design is not only smaller in size, stronger in function, but also higher in portability and easier to develop and higher in reliability.
引文
[1] 吴承绮,孙培生.出租汽车计费系统发展历程回顾[J].中国计量,2010,4:2-32.
    [2] 张立,张光新,柴磊,等.FPGA在多功能计费器系统中的应用[J].仪器仪表学报,2005,26:735-737.
    [3] 王振红.FPGA电子系统设计项目实战:VHDL语言 [M].北京:清华大学出版社,2017:9-12.
    [4] 侯伯亨,刘凯.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,2009:35-36.
    [5] 潘松,黄继业.EDA技术实用教程:VHDL版[M].北京:科学出版社,2015:28-29.
    [6] 于斌,谢龙汉.ModelSim电子系统分析及仿真[M].电子工业出版社,2014:53-55.
    [7] 焦敏.FPGA 在出租车计费器上的应用研究[J].中国科技信息,2009(9):145-146.
    [8] 王翠.基于FPGA 的出租车计价系统设计[J].现代电子技术,2012(5):187-189.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700