TaN薄膜的等离子体增强原子层沉积及其抗Cu扩散性能
详细信息    查看全文 | 推荐本文 |
  • 英文篇名:Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
  • 作者:王永平 ; 丁子君 ; 朱宝 ; 刘文军 ; 丁士进
  • 英文作者:WANG Yongping;DING Zijun;ZHU Bao;LIU Wenjun;DING Shijin;State Key Laboratory of ASIC and System, School of Microelectronics, Fudan University;
  • 关键词:材料表面与界面 ; 原子层沉积 ; 扩散阻挡层 ; 退火 ; TaN薄膜
  • 英文关键词:surface and interface in the materials;;atomic layer deposition;;diffusion barrier;;annealing;;TaN films
  • 中文刊名:CYJB
  • 英文刊名:Chinese Journal of Materials Research
  • 机构:专用集成电路与系统国家重点实验室复旦大学微电子学院;
  • 出版日期:2019-01-25
  • 出版单位:材料研究学报
  • 年:2019
  • 期:v.33
  • 基金:国家科技重大专项(2015ZX02102-003)~~
  • 语种:中文;
  • 页:CYJB201901002
  • 页数:6
  • CN:01
  • ISSN:21-1328/TG
  • 分类号:11-16
摘要
使用Ta[N(CH3)2]5和NH3等离子体作为反物用等离子体增强原子层沉积工艺生长了TaN薄膜,借助原子力显微镜、X射线光电子能谱、四探针和X射线反射等手段研究了薄膜的性能与工艺条件之间的关系。结果表明,TaN薄膜主要由Ta、N和少量的C、O组成。当衬底温度由250℃提高到325℃时Ta与N的原子比由46:41升高到55:35,C的原子分数由6%降低到2%。同时,薄膜的密度由10.9 g/cm3提高到11.6 g/cm3,电阻率由0.18Ω?cm降低到0.044Ω?cm。与未退火的薄膜相比,在400℃退火30 min后TaN薄膜的密度平均提高了~0.28 g/cm3,电阻率降低到0.12~0.029Ω?cm。在250℃生长的3 nm超薄TaN阻挡层在500℃退火30 min后仍保持良好的抗Cu扩散性能。
        TaN films were deposited on monocrystalline silicon wafer via plasma enhanced atomic layer deposition with Ta[N(CH3)2]5as precursor and NH3 plasma as coreactant. The as deposited films were characterized by means of atomic force microscopy, X-ray photoelectron spectroscopy, four-point probe and X-ray reflection. The results show that the as-deposited film consists mainly of TaN with small quantities of C and O. As the deposition temperature increases from 250 oC to 325 oC, the ratio of Ta/N increases from 46:41 to 55:35, and the C-content(atomic fraction) decreases from 6% to 2%. Meanwhile,the resistivity of the film gradually decreases from 0.18 Ω?cm to 0.044 Ω?cm, and the film density increases from 10.9 g/cm3 to 11.6 g/cm3. After annealing at 400 oC for 30 min, the film density shows an increment of ~0.28 g/cm3 on average, and the film resistivity decreases to 0.12-0.029 Ω?cm. Further, the barrier performance test results indicate that the TaN film of 3 nm in thickness deposited at 250 oC demonstrates a perfect barrier function after annealing at 500 oC for 30 min.
引文
[1] Kuo Y L, Lee C, Lin J C, et al. Characteristics of DC reactively sputtered(Ti, Zr)N thin films as diffusion barriers for Cu metalliza‐tion[J]. Electrochem. Solid St., 2003, 6(9):123
    [2] Kwon J D, Yun J, Kang S W. Comparison of tantalum nitride films for different NH3/H2/Ar reactant states in two-step atomic layer deposition[J]. Jpn. J. Appl. Phys., 2009, 48(2):1
    [3] Jourdan N, Barbarin Y, Croes K, et al. Plasma enhanced chemical vapor deposition of manganese on low-k dielectrics for copper dif‐fusion barrier application[J]. ECS Solid State Lett., 2013, 2(3):25
    [4] Semiconductor Industry Association. The International Technolo‐gy Roadmap for Semiconductors 2.0:Interconnect[EB/OL]. https://www. dropbox. com/sh/3jfh5fq634b5yqu/AAAcJLRcp2paEtG5-Oct9wDkDa/2015%20ITRS%202.0%20ITWGs? dl=0&review=6_2015+ITRS+2.0_Interconnect. pdf&subfolder_nav_tracking=1,2015
    [5] Somani S, Mukhopadhyay A, Musgrave C. Atomic layer deposi‐tion of tantalum nitride using a novel precursor[J]. J. Phys. Chem.C, 2011, 115(23):11507
    [6] Tsai M H, Sun S C, Lee C P, et al. Metal-organic chemical vapor de‐position of tantalum nitride barrier layers for ULSI applications[J].Thin Solid Films, 1995, 270(1):531
    [7] Ohshita Y, Ogura A, Hoshino A, et al. Low-pressure chemical va‐por deposition of TaCN films by pyrolysis of ethylamido-tanta‐lum[J]. J. Cryst. Growth, 2000, 220(4):604
    [8] Tsai M H, Sun S C, Chiu H T, et al. Metalorganic chemical vapor deposition of tantalum nitride by tertbutylimidotris(diethylamido)tantalum for advanced metallization[J]. Appl. Phys. Lett., 1995, 67(8):1128
    [9] Tsai M H, Sun S C, Tsai C E, et al. Comparison of the diffusion barrier properties of chemical-vapor-deposited Ta N and sputtered TaN between Cu and Si[J]. J. Appl. Phys., 1996, 79(9):6932
    [10] Alen P, Juppo M, Ritala M, et al. Atomic layer deposition of Ta(Al)N(C)thin films using trimethylaluminum as a reducing agent[J].J. Electrochem. Soc., 2001, 148(10):566
    [11] Kim H, Lavoie C, Copel M, et al. The physical properties of cubic plasma-enhanced atomic layer deposition TaN film[J]. J. Appl.Phys., 2004, 95(10):5848
    [12] Strehle S, Schumacher H, Schmidt D, et al. Effect of wet chemical substrate pretreatment on the growth behavior of Ta(N)films depos‐ited by thermal ALD[J]. Microelectron. Eng., 2008, 85(10):2064
    [13] Burton B B, Lavoie A R, George S M. Tantalum nitride atomic lay‐er deposition using(tert-butylimido)tris(diethylamido)tantalum and hydrazine[J]. J. Electrochem. Soc., 2008, 155(7):508
    [14] Yan H, Li L, Ho F Y, et al. Formation and characterization of mag‐netron sputtered Ta-Si-N-O thin films[J]. Thin Solid Films, 2009,517(17):5207
    [15] Kim H, Lavoie C, Copel M, et al. The physical properties of cubic plasma-enhanced atomic layer deposition TaN films[J]. J. Appl.Phys., 2004, 95(10):5848
    [16] Kumar S, Greenslit D, Chakraborty T, et al. Atomic layer deposi‐tion growth of a novel mixed-phase barrier for seedless copper electroplating applications[J]. J. Vac. Sci. Technol. A, 2009, 27(27):572
    [17] Fang Z, Aspinall H C, Odedra R, et al. Atomic layer deposition of TaN and Ta3N5 using pentakis(dimethylamino)tantalum and either ammonia or monomethylhydrazine[J]. J. of Cryst. Growth, 2011,331(1):33
    [18] Bae N J, Na K I, Cho H I, et al. Thermal and electrical properties of 5-nm-thick TaN film prepared by atomic layer deposition using a pentakis(ethylmethylamino)tantalum precursor for copper metal‐lization[J]. Jpn. J. Appl. Phys., 2006, 45(12):907
    [19] Park Y J, Lee D R, Baik S. Synchrotron X-ray reflectivity for char‐acterization of the initial ALD growth of Ta N[J]. J. Korean Phys.Soc., 2011, 59(2):458
    [20] Anacleto A C, Zauner A, Canian D C, et al. Atomic layer deposi‐tion of tantalum nitride based thin films from cyclopentadienyl type precursor[J]. Thin Solid Film, 2010, 519(1):367
    [21] Rushworth S A, Smith L M, Kingsley A J, et al. Vapour pressure measurement of low volatility precursors[J]. Microelectron. Reli‐ab., 2005, 45(5-6):1000
    [22] Xie Q, Musschoot J, Detavernier C, et al. Diffusion barrier proper‐ties of TaNx films prepared by plasma enhanced atomic layer depo‐sition from PDMAT with N2 or NH3 plasma[J]. Microelectron.Eng., 2008, 85(10):2059
    [23] Puurunen R L. Surface chemistry of atomic layer deposition:A case study for the trimethylaluminum/water process[J]. J. Appl.Phys., 2005, 97(12):121301
    [24] George S M. Atomic Layer Deposition:An overview[J]. Chem.Rev., 2010, 110(1):111
    [25] Brize V, Prieur T, Violet P, et al. Developments of Ta N ALD pro‐cess for 3D conformal coatings[J]. Chem. Vapor Depos., 2015, 17(10-12):284
    [26] Hao L J, Laxman R K, Laneman S A. HVM production and chal‐lenges of UHF PDMAT for ALD-TaN[J]. Solid State Technol.,2014, 57(4):31
    [27] Violet P, Nuta I, Chatillon C, et al. Cracking study of pentakis(di‐methylamino)tantalum vapors by Knudsen cell mass spectrome‐try[J]. Rapid Commun. Mass Sp., 2010, 24(20):2949
    [28] Zhang Q Y, Mei X X, Yang D Z, et al. Preparation, structure and properties of TaN and Ta C films obtained by ion beam assisted de‐position[J]. Nucl. Instrum. Methods Phys. Res. B, 1997, 127(128):664
    [29] Arranz A, Palacio C. Composition of tantalum nitride thin films grown by low-energy nitrogen implantation:a factor analysis study of the Ta 4f XPS core level[J]. Appl. Phys. A, 2005, 81(7):1405
    [30] Arshi N, Lu J, Joo Y K, et al. Effects of nitrogen composition on the resistivity of reactively sputtered TaN thin films[J]. Surf. Inter‐face Anal., 2015, 47(1):154
    [31] Vargas M, Castillo H A, Parra E R, et al. Stoichiometry behavior of TaN, TaCN and TaC thin films produced by magnetron sputter‐ing[J]. Appl. Surf. Sci., 2013, 279(279):7
    [32] Piallat F, Beugin V, Gassilloud R, et al. Evaluation of plasma pa‐rameters on PEALD deposited TaCN[J]. Microelectron. Eng.,2013, 107(1):156
    [33] Lamour P, Fioux P, Ponche A, et al. Direct measurement of the ni‐trogen content by XPS in self-passivated TaNx thin films[J]. Surf.Interface Anal., 2010, 40(11):1430
    [34] Wang Y P, Ding Z J, Liu Q X, et al. Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors[J]. J. Mater. Chem. C, 2016, 4(47):11059

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700