高速集成电路互连的时域有限差分方法研究与性能优化
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着高频高速集成电路(VLSI)的快速发展,电路中的电磁场效应越来越明显,为了能够正确模拟出在电磁场效应的影响下,高频电路系统信号的完整性问题,因而需要对电路里的互连封装结构进行电磁特性分析与设计。同时,由于三维集成电路及微波MCM这些新型结构的出现,使得该类结构内的电磁场问题不可忽略,这也对电磁特性仿真技术提出了新的要求。这些实际工程中出现的需要解决的问题均为计算电磁学的发展提供了强大的动力。作为计算电磁学中一类最为重要的方法,时域有限差分方法(FDTD)以其能够直接进行时域计算,适用范围广,实现手段简单,且通过一次时频变换就可以得到宽频带范围的信息等特点几乎被运用到电磁场领域中的各个方面。虽然时域有限差分方法的优点很明显,但该方法很大程度上会受到数值色散性和Courant-Friedrich-Levy(CFL)稳定性条件的约束。
     针对传统FDTD方法数值色散高的缺点,本文提出了一种可以构造高阶时域差分算法从而减少色散情况的辛时域有限差分方法(SFDTD)。SFDTD方法的原理来自于经典力学里Hamilton系统的辛算法,它是一种可保持Hamilton系统辛结构不变的显示时域差分方法。由于Maxwell方程本质上就是Hamilton系统的正则方程,因此用辛算法构造的高阶SFDTD方法具有高精度且非耗散的特点。本文全面分析了新的SFDTD方法的稳定性和色散性,结果显示出,传统的FDTD格式就等同于低阶的SFDTD方法,而高阶SFDTD方法与其相比则具有更低的各向异性和更小的相位误差。最后的数值实例证明了由于高阶SFDTD方法具有低的色散性,因而可以较显著地节省内存空间。
     针对传统FDTD方法有条件稳定的缺点,本文研究了一种基于精细积分的三维时域有限差分方法(PITD)。在以往提出的能够克服传统FDTD方法稳定性条件的技术中,交替方向隐式技术(ADI-FDTD)是最主要的一种方法。尽管ADI-FDTD方法能成功地消除稳定限制,但它的数值色散性会随着时间步长的增加而急剧恶化。而本文通过对PITD方法的稳定性条件和数值色散方程的推导,发现PITD方法可以采用远大于传统FDTD方法中受最大稳定限制的时间步长从而拓宽稳定性条件,并具有比ADI-FDTD方法更好的精度。PITD方法的数值色散误差可以被人为地控制而几乎与时间步长无关。但PITD方法的缺点是必须涉及大型矩阵方程的运算,其矩阵的维数是由空间网格数直接决定,因此该方法会占用大量的内存。本文也提出横向二维技术与精细积分方法相结合形成横向2-D PITD方法,使得在求解导波结构问题时可以缓解三维方法对内存的要求及避免对大型矩阵求逆的计算。
     除去对电磁学中计算新方法的研究外,本文也以电路分析的方式对片上全局互连线进行设计和优化。这是因为高速集成电路的发展使得片上全局互连线成为制约整个片上系统性能的瓶颈。集成电路设计也从以晶体管为中心的设计方案转变为以互连线为中心的设计方案。本文从互连线系统性能的各个方面入手,深入分析设计变量对这些性能的影响,并对性能各方面进行权衡折衷,以达到全局互连线系统的综合性能最优化。
     本文首先以分布式RC模型近似模拟插有多个缓冲器的一般结构的全局互连线,在分析线宽和线间距对RC时延、功耗、带宽等性能的影响后,提出时延-功耗-倒数带宽乘积最小化的优化目标,用以计算不同ITRS技术下最优的全局线宽和线间距,并评估了这种优化在各性能指标上产生的效果。
     随后本文以分布式RLC模型近似模拟插有多个缓冲器的双边屏蔽结构的全局互连线,在假定信号线与屏蔽线完全相同的情况下,分别分析了信号线宽度、信号线与地线间的间隔对RLC时延、功耗、带宽等性能的影响,提出了倒数时延-带宽乘积最大化的优化目标,用以计算不同ITRS技术下双边屏蔽结构最优的线宽和线间距,并评估了这种优化在各性能指标上产生的效果。
     本文最后研究了考虑热效应时的RC全局互连线横向尺寸的优化问题。在给出衬底温度模型、连线自热温度模型以及依靠温度的互连线和器件参数模型后,计算了作为顶层全局线宽度和间距函数的芯片温度并分析了线尺寸对温度的影响,以及线尺寸和温度对性能参数的影响。依然定义时延-功耗-倒数带宽积的折衷策略来优化计算不同ITRS技术下的线宽和线间距,并评估了这种优化在衬底和顶层连线温度及各性能指标上产生的效果。
With the rapid development of high-frequency high-speed very large simulated integration (VLSI) circuits, the electromagnetic effects in VLSI circuits are more and more obvious. To resolve accurately the signal integration problems of high-frequency circuit systems under the impacts of electromagnetic effects, the analysis and design for electromagnetic characteristics of interconnect and package structures in circuits are necessary. Moreover, the appearances of new structures of three-dimension integrate circuits and microwave MCM make electromagnetics problems in these structures not be neglected, which also casues the new requirements for electromagnetic simulation software being proposed. The problems rising in the real engineering offer great power to the development of computational electromagnetics (CEM). As one of the most important methods in CEM, the finite-difference time-domain (FDTD) method has been applied to every aspect in electromagnetic fields since it can implement time-domain computation directly, and it can be applied in very broad areas with relatively simple realized techniques, and one single run of simulation can provide information over a large bandwidth. The advantages of the traditional FDTD method (Yee’s method) are apparent, however, the efficiency of the FDTD method is limited by the Courant-Friedrich-Levy (CFL) stability condition and numerical dispersion.
     To reduce the high dispersion error of the FDTD method,this dissertation proposes a high-order symplectic finite-difference time-domain (SFDTD) method. This method is a simple explicit integration time method which was originally developed to solve numerical systems derived from a Hamiltonian formulation and can preserve the symplectic structure of the systems constant. Maxwell equations can be treated as a Hamiltonian system, therefore, the SFDTD method based on symplectic integration technique is high accurate and nondissipative. The analysis of stability and dispersion of SFDTD method is roundly given. The resules show that the Yee’s method is equivalent to the low order SFDTD schemes, and hence the high order schemes have lower dispersion and smaller phase error compared to Yee’s method. The final numerical examples show that the high order SFDTD method can save greatly memory thanks to low dispersion.
     To remove the CFL stability condition of the FDTD method, this dissertation introduces a three-dimension finite-difference time-domain algorithm based on precise integration technique (PITD), too. Among all of the methods which have been proposed in the past to overcome the stability condition of traditional FDTD method, the ADI-FDTD method is the most improtant. It can eliminate the CFL limit successfully. However, in this method, the use of a large time step also increases greatly the dispersion errors. While in this dissertation, through discussing in detail the stability condition and numerical dispersion of PITD method, we discover that a larger time step than the maximum CFL limit of Yee’s scheme can be adopted and the better accuracy than ADI-FDTD method can be obtained by the PITD method. The dispersion error of PITD can be made nearly independent of the time step increment. However, this method involves the computation for large matrix equations and hence requires a number of memory spaces. This dissertation also subjusts to apply the compact two-dimension technique to the PITD method to develop a new compact 2-D PITD method, which can lighten a certain extent the requirement for memory of 3-D method and avoid the computation of invertible matrix for guided wave problems.
     Besides the study for the new approaches in CEM, this dissertation put efforts on global interconnect design and optimization with circuit analysis method. This is because that with technology scaling, global interconnects have started to limit the overall performance of a system-on-a-chip. The transistor-centric design has been turn to the interconnect-centric design in a VLSI circuit. In this dissertation, our goal is to attain the optimization of comprehensive performances of global interconnect system by means of the best tradeoff in all performances. We firstly consider distributed RC global interconnects with repeater insertion. After analyzing deeply the impacts of the line width and spacing on interconnect RC delay, power dissipation, bandwidth and total repeater area, a user-specified figure of merit which is a delay-power-reciprocal bandwidth tradeoff formulation is proposed to calculate the optimum width and spacing of global interconnects for various ITRS techniques nodes. This methodology is also used to quantify the effect of such optimization on every performance for various technology nodes.
     Next this dissertation considers the double-sided shielded global interconnect structure with repeater insertion using distributed RLC models. Assuming the same size and material are adopted in the signal line and shielded line, the effects of signal line width and spacing between the signal and shielded lines on the interconnect RLC delay, power dissipation, and bandwidth et al are analyzed all the round. A user-specified figure of merit which is a bandwidth-reciprocal delay tradeoff formulation is then proposed to calculate the optimum width and spacing of double-sided shielded interconnects for various ITRS technique nodes, and the effect of such optimization on every performance is also quantified.
     This dissertation finally introduces the analysis and optimization problem of thermal-driven global interconnects. After the thermal models and temperature-dependent interconnect and device models are present respectively, we compute the temperature as the function of topmost global interconnect width and spacing and analyze the impacts of interconnect size on global interconnect temperature and substrate temperature, and the size as well as temperature on interconnect performances. The same figure of merit that a delay-power-reciprocal bandwidth product is defined to optimize interconnect width and spacing and quantify the effect of such optimization on interconnect performances and topmost interconnect and substrate temperature for various ITRS technique nodes.
引文
[1] International Technology Roadmap for Semiconductors (ITRS), http://www.itrs.org.
    [2] D. A. Hodges, H. G. Jackson, and R. A. Saleh, Analysis and Design of Digital Integrated Circuits In Deep Submicron Technology, Third Edition, MA: McGraw-Hill, 2005.
    [3] Ryan J. G., et al.,“The evolution of interconnection technology at IBM,”IBM Journal of Research and Development, vol.39, pp.371~381, 1995
    [4] Jarxis D. B.,“The effects of interconnections on high-speed logic circuit,”IEEE Trans. Electromagnetic Compatibility, vol. 12, pp. 476~483, 1963.
    [5] Chang F. Y.,“Transient analysis of lossless coupled transmission lines in a non-homogeneous dielectric medium,”IEEE Trans. Microwave Theory and Techniques, vol.18, pp: 616~626, 1970
    [6] Ruehli A. E.,“Survey of computer-aided electrical analysis of integrated circuit interconnections,”IBM Journal of Research and Development, vol. 23, pp. 626~639, 1979.
    [7] Groudis A. J. and Chang C. S.,“Coupled lossy transmission line characterization and simulation,”IBM Journal of Research and Development, vol. 25 , pp. 25~41, 1981.
    [8] Seki S. and Hasegawa H.,“Analysis of crosstalk in very high-speed LSI/VLSI using a coupled multiconductor MIS microstrip line model,”IEEE Trans. Microwave Theory and Techniques, vol. 32, pp. 1715~1720, 1984.
    [9] Hasegawa H. and Seki S.,“Analysis of interconnection delay on very high-speed LSI/VLSI chips using an MIS microstrip line model,”IEEE Trans. Microwave Theory and Techniques, vol. 32, pp. 1721~1727, 1984.
    [10] Cao W., Harrington R. F., Mautz J. R., etc.,“Multiconductor transmission lines in multilayered dielectric media,”IEEE Trans. Microwave Theory and Techniques, vol. 32, pp. 439~450, 1984.
    [11]李征帆,毛军发,微波与高速电路理论,上海:上海交通大学出版社,2001
    [12] Lin S. and Kuh E. S.,“Transient simulation of lossy interconnects based on the recursive convolution formulation,”IEEE Trans. Circuits and Systems-I, vol. 39, pp. 879~892, 1992
    [13] Orhanovic N., Wang P. and Tripathi V. K.,“Time-domain simulation of uniform and nonuniform multiconductor lossy lines by the method of characteristics,”IEEE Trans. Computer-Aided Design, vol.12, pp. 900~904, 1993.
    [14] Lin S., Kuh E. S. and Marek-Sadowska M.,“Stepwise Equivalent conductance circuit simulation technique,”IEEE Trans. Computer-Aided Design, vol. 12, pp. 672~683, 1993.
    [15] Ghali H., Technology migration effects on signal integrity of single on-chip interconnect[C], 45th Midwest Symposium on Circuits and Systems, pp. III-157– III-160, Aug. 2002.
    [16] Zhang Q. J., et al, Signal integrity optimization of high-speed VLSI packages and interconnects[C], 48th IEEE Electronic Components and Technology Conference, pp.1073– 1076, May 1998.
    [17]李征帆,曹毅,微波与高速电路中的电磁场理论及其数值方法,北京:科学出版社,2002.
    [18] R. F. Harrington, Field Computation by Moment Methods, The MacMillan Company,N.Y., USA, 1968.
    [19]李忠元,电磁场边界元素法,北京:北京工业学院出版社,1987.
    [20]清华大学微带电路编写组。微带电路,北京:人民邮电出版社,1976.
    [21] Chow Y. L., Yang J. J. and Howard G. E.,“Complex images for electrostatic field computation in multilayered media,”IEEE Trans. Microwave Theory and Techniques, vol.39 ,pp. 1120~1125, 1991.
    [22] Luo S. P. and Li Z. F.,“Extraction of the capacitance matrix of multiconductor interconnection lines for high-speed IC system design,”IEEE Trans.Components, Packaging and Manufacturing Technology-Part B, vol.19, pp. 770~774, 1996.
    [23] Oh K. S., Kuznetsov D. B. and Schutt-Aine J. E.,“Capacitance computation in a multilayered dielectric medium using closed-form spatial Green’s function,”IEEE Trans. Microwave Theory and Techniques, vol.42, pp. 1443~1453, 1994.
    [24]杨钊志、王泽毅和方蜀州,“VLSI互连寄生电容准三维多级加速提取,”电子学报,vol.28, pp. 129-131,2000.
    [25] Uebbing R. H. and Fukuma M.,“Process-based three-dimensional capacitance simulation-TRICEPS,”IEEE Trans. Computer-Aided Design, vol.5, pp. 215-220, 1986.
    [26] Seidl A., et al.,“CAPCAL-A 3-D capacitance solver for support of CAD systems,”IEEE Trans. Computer-Aided Design, vol.7, pp. 549-556, 1988.
    [27] Zemanian A. H.,“A finite-difference procedure for the exterior problem inherent in capacitance computations for VLSI interconnections,”IEEE Trans. Electron Devices, vol.35, pp. 985~992, 1988.
    [28] Wei H. C., Wang G. H., and Wang Z. Y.,“Coupling computation of the BEM and FDM in 3D capacitance extraction,”International Conference on ASIC Proceedings, pp. 716-719, 2001.
    [29] Mei K. K., Pous R., Chen Z., etc.,“The measured equation of invariance: a new concept in field computation,”IEEE Trans. Antennas and Propagation, vol. 42, pp. 320-327, 1994.
    [30] Chen J., Hong W. and Jin J. M.,“An iterative measured equation technique for electromagnetic problems,”IEEE Trans. Microwave Theory and Techniques, vol. 46, pp. 25~30, 1998.
    [31] Sun W., Dai W. W. M. and Hong W.,“Fast parameter extraction of general interconnects using geometry independent measured equation of invariance,”IEEE Trans. Microwave Theory and Techniques, vol.45, pp. 827~836, 1997.
    [32] K. S. Yee, "Numerical solution of initial boundary value problems involving Maxwell's equations in isotropic media," IEEE Trans. Antennas Propagation, vol. 14, pp. 302-307, May 1966.
    [33] A. Taflove, Computational Electrodynamics, the Finite-Difference Time-Domain Method, Boston, MA: Artech House, 1995.
    [34]葛德彪,闫玉波,电磁波时域有限差分方法,西安:西安电子科技大学出版社,2005.
    [35]王秉中,计算电磁学,北京:科学出版社,2002.
    [36] L. Kai, and H. Wei,“Analysis of patch arrays based on FDFD method,”Asia-Pacific Microwave Conference Proceedings, pp. 265-268, 1997.
    [37] Ramahi. O. M, et al,“A simple finite-difference frequency-domain(FDFD) algorithm for analysis of switching noise in printed circuit boards and packages,”IEEE Trans. Advanced Packaging, vol. 26, pp. 191-198, May, 2003.
    [38] Rappaport M., et al,“FDFD analysis of electromagnetic scattering in anisotropic media using unconstrained triangular meshes,”IEEE Trans. Antennas and Propagation, pp.345-349, Mar. 1991.
    [39] Worm S. B.,“Full wave analysis of discontinuities in planar waveguides by the method of lines using a source approach,”IEEE Trans. Microwave Theory and Techniques, vol. 38, pp. 11510-1514, 1990.
    [40] L. Carin and K. J. Webb,“Characteristic impedance of multilevel, multiconductor hybrid microstrip,”IEEE Trans. Magnetics, vol.25, 1989.
    [41] B.Engquist, A.Majda.“Absorbing boundary conditions for the numerical simulation of waves,”Math.Comput., vol. 31, pp. 629~651, 1977.
    [42] G. Mur,“Absorbing boundary conditions for the finite-difference approximation of the time-domain electromagnetic field equations,”IEEE Trans. EMC., vol.4, pp. 377-382, Nov. 1981.
    [43] J.-P. Berenger,“A perfectly matched layer for the absorption of electromagnetic waves,”J. Comput. Phys., vol. 114, pp. 185–200, Oct. 1994.
    [44] J. Fang,“Time domain finite difference computation for Maxwell’s equations,”Ph.D. dissertation, Dept. Elect. Eng., Univ. California at Berkeley, Berkeley, CA, 1989.
    [45] M. Krumpholz and L. P. B. Katehi,“MRTD: New time-domain schemes based on multiresolution analysis,”IEEE Trans. Microwave Theory and Technology, vol.44, pp. 555-571, Apr. 1996.
    [46] N. Kovvali, W. Lin, and L. Carin,“Order of accuracy analysis for multiresolution time-domain using daubechies bases,”Microwave and Optical Technology Lett., vol. 45, pp. 290-293, May 2005.
    [47] S. Grivet-Talocia,“On the accuracy of haar-based multiresolution time-domain schemes,”IEEE Microwave Guided Wave Lett., vol. 10, pp. 397-399, Oct. 2000.
    [48] Y. A. Hussein and S.M. El-Ghazaly.“Extending multiresolution time-domain (MRTD) technique to the simulation of high-frequency active devices. IEEE Trans. Microwave Theory and Technology, vol. 5l, pp. 1842-1851,Jul. 2003.
    [49] S. Barmada, and M. Raugi.“New wavelet based approach for time domain simulations,”IEEE Trans. Antennas and Propagation, vo1.51, pp.1590-1598, July 2003.
    [50] C. D. Sarris, L. P. B. Katehi,“An efficient numerical interface between FDTD and Haar MRTD-formulation and applications,”IEEE Trans. Microwave Theory and Technology, vo1.51, pp.1146-1156, Apr. 2003.
    [51] K. L. Shlager, J. B. Schneider,“Comparison of the dispersion properties of higher order FDTD schemes and equivalent-sized MRTD schemes,”IEEE Trans. Antennas and Propagation, vo1.52, pp.1095-1104, Apr. 2004.
    [52] T. Dogaru and L. Carin,“Scattering analysis by the multiresolution time-domain method using compactly supported wavelet systems. IEEE Trans. Microwave Theory and Technology, vo1.50, pp.1752-1760, Jul. 2002.
    [53] T. Dogaru, L. Carin,“Application of Haar-wavelet-based multiresolution time-domain schemes to electromagnetic scattering problems,”IEEE Trans. Antennas and Propagation, vol.50, pp.774-784, Jun. 2002.
    [54] E. M. Tentzeris, et al,“Multiresolution time-domain (MRTD) adaptive schemes using arbitrary resolutions of wavelets,”IEEE Trans. Microwave Theory and Technology, vo1.50, pp.S01-516, Feb. 2002.
    [55] Q. Cao and Y. Chen,“Application of an anisotropic perfectly matched layer absorber for open boundary truncation in the multiresolution time domain scheme. IEEE Trans. Antennas and Propagation, vo1.51, pp.350-357, Feb. 2003.
    [56] C. D. Sarris and L. P. B. Katehi,“On the existence of spurious modes in battle-lemarie based MRTD,”IEEE Microwave Wireless Components Lett. , vol. 11, pp. 71-73, Feb. 2001.
    [57] X. Zhu and L. Carin,“Multiresolution time-domain analysis of plane-wave scattering from general three-dimensional surface and subsurface dielectric targets,”IEEE Trans. Antennas and Propagation, vol. 49, pp. 1568-1578, Nov. 2001.
    [58] Q. H. Liu,“The PSTD algorithm: A time-domain method requiring only two cells per wavelength. Microwave and Optical Technology Lett., vo1.15, pp.158-165, 1997.
    [59] Q. H. Liu and G. Fan,“A frequency-dependent PSTD algorithm for general dispersive media,”IEEE Microwave Guided Wave Lett., vo1.9, pp.51-53, Feb. 1999.
    [60] Q. H. Liu,“PML and PSTD algorithm for arbitrary lossy anisotropic media,”IEEE Microwave Guided Wave Lett., vo1.9, pp.48-50, Feb. 1999.
    [61] Q. H. Liu and J. He,“An efficient PSTD algorithm for cylindrical coordinates,”IEEE Trans. Antennas and Propagation, vo1.49, pp.1349-1351, Sep. 2001.
    [62] G. Fan, Q. H. Liu, and S. A. Hutchinson,“FDTD and PSTD simulations for plasma applications,”IEEE Trans. Plasma Science, vo1.29, pp. 341-348, Apr 2001.
    [63] Q. Li and Y. Chen,“Applications of the PSTD for scattering Analysis,”IEEE Trans. Antennas and Propagation , vo1.50, pp.1317-1319, Sep. 2002.
    [64] G. Zhao and Q. H. Liu,“The 2.5-D multidomain pseudospectral time-domain algorithm,”IEEE Trans. Antennas and Propagation, vo1.51, pp.619-627, Mar. 2003.
    [65] G. Zhao, Y. Zeng and Q. H. Liu,“The 3-D multidomain pseudospectral time-domain method for wideband simulation,”IEEE Microwave Wireless Components Lett., vo1.13, pp.184-186, May 2003.
    [66] G. Zhao and Q. H. Liu,“The unconditionally stable pseudospectral time-domain (PSTD) method,”IEEE Microwave Wireless Components Lett., vo1.13, pp. 475-477, Nov. 2003.
    [67] T. Namiki and K. Ito,“A new FDTD algorithm free from the CFL condition restraint for a 2D-TE wave,”in IEEE AP-S Symp. Dig., Orlando,FL, July 1999, pp. 192–195.
    [68] F. Zheng, Z. Chen, and J. Zhang,“A finite-different time-domain method without the Courant stability condition,”IEEE Microwave GuidedWave Lett., vol. 9, pp. 441–443, Nov. 1999.
    [69] F. Zheng, Z. Chen, and J. Zhang,“Toward the development of a three-dimensional unconditionally stable finite-difference time-domain method,”IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1050–1058, Sept. 2000.
    [70] T. Namiki,“3-D ADI-FDTD method—unconditionally stable time-domain algorithm for solving full vector maxwell’s equations ,”IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1743–1748, Oct. 2000.
    [71] T. Hirono, W. W. Lui, and K. Yokoyama,“Time-domain simulation of electromagnetic field using a symplectic integrator,”IEEE Microwave Guided Wave Lett., vol. 7, pp. 279–281, 1997.
    [72] T. Hirono, W. W. Lui, and K. Yokoyama,“Stability and numerical dispersion of symplectic fourth-order time-domain schemes for optical field simulation,”IEEE J. Lightwave Tech. vol. 16, pp. 1915-1920, 1998.
    [73] I. Saitoh, Y. Suzuki, and N. Takahashi,“The symplectic finite difference time domain method,”IEEE Trans. Magn., vol. 37, pp. 3251–3254, 2001.
    [74] I. Saitoh, Y. Suzuki, and N. Takahashi,“Stability of symplectic finite difference time domain method,”IEEE Trans. Magn., vol. 38, pp. 665–668, 2002.
    [75] T. Hirono, W. Lui, W. S. Seki, and Y. Yoshikuni,“A three-dimensional fourth-order finite-difference time-domain scheme using a symplectic integrator propagator,”IEEE Trans. Microw. Theory Tech., vol. 49, pp. 1640–1647, Sep. 2001.
    [76] K. Nabors and J. K. White,“FASTCAP: a multipole-accelerated 3-D capacitance extraction program,”IEEE Trans. Computer-Aided Design, vol. 10, pp.1447-1459, Nov. 1991.
    [77]罗水平,李征帆,多导体互连线分布电容矩阵的一种近似格林函数算法,电子学报,vol. 26, May. 1992.
    [78] T. Sakurai and A. R. Newton,“Fast simulated diffusion and its application to model parameter extraction,”IEEE Trans. Computer-Aided Des., and UCBlERL Memo M90/20, Mar.1990.
    [79] A. Ruehli.“Inductance calculations in a complex integrated circuit environment,”IBM Journal of Research and Development, vol.16, no.5, pp.470–481, Sept.1972.
    [80] K. Gala, V. Zolotov, R. Panda, B. Young, J. Wang, and D. Blaauw,“On-chip inductance modeling and analysis,”In Proc. 37th Design Automation Conference, pp.63–68, Jun 2000.
    [81] M. W. Beattie and L. T. Pileggi,“Inductance 101: Modeling and extraction,”In Proc. of 38th Design Automation Conference, June 2001.
    [82] X. Qi, et al.,“On-chip inductance modeling of VLSI interconnects,”Int. Solid-State Circuit., pp. 172-173. 2000.
    [83] S. Kim, Y. Massoud and S. Simon Wong,“On the accuracy of return path assumption for loop inductance extraction for 0.1um technology and beyond”, In Proc. IEEE Quality Electronic Design, pp.401-404, Mar 2003.
    [84] M. Kamon, M. J. Tsuk, and J. White.“FASTHENRY, A Multipole-Accelerated 3-D inductance extraction program,”Proc. 30th Design Automation Conference, Jun 1993.
    [85] H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI. Reading, MA: Addison-Wesley, 1990.
    [86] T. Sakurai,“Approximation of wiring delay in MOSFET LSI,”IEEE J. Solid-State Circuits, vol. SC-18, no. 4, pp. 418-426, Aug. 1983.
    [87] T. Sakurai, S. Kobayashi, and M. Noda,“Simple expressions for interconnection delay, coupling and crosstalk in VLSI’s,”In Proc. Int. Symp. on Circuits and Systems (Singapore), pp. 2375-2378, Jun. 1991.
    [88] T. Sakurai,“Close-form expression for interconnection delay, coupling, and crosstalk in VLSI’s,”IEEE Trans. on Electron Devices, vol. 45, pp. 1836-1846, Oct. 1997.
    [89] Y. Cao, X. Huang, D. Sylvester and C. Hu, "A new analylical delay and noise model for on-chip RLC interconnect," Proc.of IEDM, pp. 823-826, Dec. 2000.
    [90] J. A. Davis and J. D. Meind1, "Compact distnbuted rlc interconnect models - Part I: Single line trmsient, time delay and overshoot expressions," IEEE Trans. Elect. Dev., pp. 2068-2077, Nov, 2000.
    [91] J. A. Davis and J. D. Meind1,“Compact distributed RLC interconnect models—Part II: Coupled line transient expressions and peak crosstalk in multilevel interconnect networks,”IEEE Trans. Electron Devices, vol. 47, pp. 2078–2087, Nov.2000.
    [92] R. Venkatesan, J. A. Davis, and J. D. Meindl,“Compact distributed RLC interconnect models—Part III: Transients in single and coupled lines with capacitive load termination,”IEEE Trans. Electron Devices, vol. 50, pp. 1081–1093, Apr. 2003.
    [93] J. A. Davis and J. D. Meindl,“Compact distributed RLC interconnect models–single-line transient, time delay, and overshoot expressions,”IEEE Trans. Electron Devices, vol. 47, pp. 2068–2087, Nov. 2000.
    [94] Y. I. Ismail and E. G. Friedman,“Effects of inductance on the propagation delay and repeater insertion in VLSI circuits,”IEEE Trans. VLSI Syst., vol. 8, pp. 195–206, Apr. 2000.
    [95] K. Banerjee and A. Mehrotra,“A power-optimal repeater insertion methodology for global interconnects in nanometer desighs,”IEEE Trans. Electron Devices, vol.49, pp. 2001-2007, Nov. 2002.
    [96] J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits:A Design Perspective. Upper Saddle River, NJ: Prentice-Hall, 2003.
    [97] A. Chandrakasan and R. W. Brodersen, Low Power Digital CMOS Design. Boston, MA: Kluwer, 1995.
    [98] H. J. M. Veendrick,“Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits,”IEEE J. Solid-State Circuits, vol. 19, pp. 468–473, Aug. 1984.
    [99] N. Magen, A. Kolodny, U. Weiser, and N. Shamir,“Interconnect-power dissipation in a microprocessor,”in Proc. Int. Workshop Syst.-Level Interconnect Prediction, Feb. 2004, pp. 7–13.
    [100] L. Wei, K. Roy, and K. D. Vivek,“Low voltage lowpower CMOSdesign techniques for deep submicron ICs,”in Proc. Int. Conf. VLSI Design, Jan. 2000, pp. 24–29.
    [101] D. Q. Chen, E. Li, E. Rosenbaum, and S. Kang,“Interconnect thermal modeling for accurate simulation of circuit timing and reliability,”IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, pp. 197-205, Feb. 2000.
    [102] T. Y. Chiang, K. Banerjee, and K. Saraswat,“Analytical thermal model for multilevel VLSI interconnects incorporating via effect,”IEEE Electron Device Lett., vol. 23, no. 1, pp. 31–33, Jan. 2002.
    [103] S. Im, N. Srivastave, K. Banerjee and K. E. Goodson,“Scaling analysis of multilevel interconnect temperatures for high-performance ICs,”IEEE Trans. on Electron Devices, vol. 52, pp. 2710-2719, Dec. 2005.
    [104] L. P. P. Van-Ginneken,“Buffer placement in distributed RC-tree networks for minimal Elmore delay,”in Proc. Int. Symp. Circuits and Systems, pp. 865-868, 1990.
    [105] H. Shah, P. Shiu, B. Bell, etc.,“Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects,”Computer Aided Design, 2002. ICCAD 2002. IEEE/ACM International Conference on, pp. 280– 284,Nov. 2002.
    [106] S. Turgis, N. Azemard, and D. Auvergne,“Design and selection of buffers for minimum power-delay product,”in Proc. Eur. Design, Test Conf., 1996, pp. 224–228.
    [107] L. P. P. P. Van Ginneken,“Buffer placement in distributed RC-tree networks for minimal Elmore delay,”in Proc. Int. Symp. Circuits Syst., 1990, pp. 865–868.
    [108] S. S. Sapatnekar,“RC interconnect optimization under the Elmore delay model,”in Proc. IEEE/ACM Design Automation Conf., pp.387–391, Jun. 1994.
    [109] X. C. Li, F. M. Mao, H. F. Huang and Y. Liu,“Global interconnect width and spacing optimization for latency, bandwidth and power dissipation,”IEEE Transactions on Electron Devices, vol. 52, pp.2272-2279, Oct. 2005.
    [110] M. Tang and J. F. Mao,“Analysis and optimization of global interconnects in high performance VLSI,”in Proc. IEEE Int. Conf. VLSI Design, Jan. 2006.
    [111] A. Naeemi, R. Venkatesan and J. D. Meindl,“Optimal global interconnects for GSI,”IEEE Transactions on Electron Devices, vol. 50, pp.980-987, Apr. 2003.
    [112] J. J. Cong, K. Leung, and D. Zhou,“Performance-driven interconnect design based on distributed RC delay model,”in Proc. IEEE Design Automation Conf., pp. 606–611, Jun. 1993.
    [1] K. S. Yee,“Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,”IEEE Trans. Antennas Propagat., vol. 14, pp. 302–307, 1966.
    [2] A. Taflove, Computational Electrodynamics, the Finite-Difference Time-Domain Method, Boston, MA: Artech House, 1995.
    [3] A. Taflove and K. Umashankar,“Radar cross section of general three dimensional scatterers,”IEEE Trans. Electromagn. Compat., vol. EMC-25, pp. 433–440, Aug. 1983.
    [4]葛德彪,闫玉波,电磁波时域有限差分方法,西安:西安电子科技大学出版社,2005.
    [5]王秉中,计算电磁学,北京:科学出版社,2002.
    [6]王长清、祝西里,电磁场计算中的时域有限差分法,北京:北京大学出版社,1994.
    [7] D. M. Sullivan, D. T. Borup, and O. P. Gandhi,“Use of the finite difference time-domain method in calculating EM absorption in human tissues,”IEEE Trans. Biomed. Eng., vol. BE-34, pp. 148–157, Feb. 1987
    [8] D. Merewether,“Electromagnetic pulse transmission through a thin sheet of saturable ferromagnetic material of infinite surface area,”IEEE Trans. Electromag. Compat., vol. 11, p. 139, 1969.
    [9] J. Fang,“Time domain finite difference computation for Maxwell’s equations,”Ph.D. dissertation, Dept. Elect. Eng., Univ. California at Berkeley, Berkeley, CA, 1989.
    [10] T. Deveze, L. Beaulieu, and W. Tabbara,“A fourth-order scheme for the FDTD algorithm applied to Maxwell’s equations,”in Digest of IEEE AP-S Int. Symp., pp. 346–349, 1992.
    [11] K. L. Shlager, J. G. Moloney, S. L. Ray, and A. F. Peterson,“Relative accuracy of several finite-difference time-domain method in two and three dimensions,”IEEE Trans. Antennas Propagat., vol. 41, pp. 1732–1737, 1993.
    [12] P. G. Petropoulos,“Phase error control for FD-TD methods of second and fourth-order accuracy,”IEEE Trans. Antennas Propagat., vol. 42, pp. 859–862, 1994.
    [13] C. W. Manry, S. L. Broschat, and J. B. Schneider,“Higher-order FDTD methods for large problems,”J. Appl. Comp. Electromagn. Soc., vol. 10, pp. 17–29, 1995.
    [14] J. L. Young, D. Gaitonde, and J. J. S. Shang,“Toward the construction of a fourth-order difference scheme for transient EM wave simulation: Staggered grid approach,”IEEE Trans. Antennas Propagat., vol. 45, pp.1573–1580, Nov. 1997.
    [15] E. Turkel and A. Yefet,“Fourth order method for Maxwell’s equationson a staggered mesh,”in IEEE AP-S Int. Symp., Montreal, QC, Canada,1997, pp. 2156–2159.
    [16] D. W. Zingg,“High-order finite-difference methods in computational electromagnetics,”in IEEE AP-S Int. Symp. Dig., Montreal, QC, Canada, 1997, pp. 110–113.
    [17] J. L. Volakis, D. B. Davidson,“High-order finite-difference schemes for electromagnetic radiation, scattering, and penetration, pare I: theory,”IEEE Mag. Antennas Propagat., vol. 44, pp.134–142, Feb. 2002.
    [18] J. L. Volakis, D. B. Davidson,“High-order finite-difference schemes for electromagnetic radiation, scattering, and penetration, pare 2: applications,”IEEE Mag. Antennas Propagat., vol. 44, pp.134–142, Apr. 2002.
    [19] Z. Xie, C. Chan, and B. Zhang,“An explicit fourth-order staggered finite-difference time-domain method for Maxwell’s equations,”J. Comput. Math, vol. 47, pp.75-98, Apr. 2002.
    [20] N. Anderson and A. M. Arthurs,“Helicity and variational principles for Maxwell’s equations,”Int. J. Electronics, vol. 54, pp. 861–864, 1983.
    [21]文舸一,“辛算法及其在电磁场方程中的应用,”微波学报, vol.15,pp. 68-78, Mar. 1999.
    [22] E. Forest, R. D. Ruth,“Fourth-order symplectic integration,”Physic D., vol. 43, pp. 105-117, 1990.
    [23] F. Kang,“Difference schemes for Hamilton formalism and symplectic geometry,”J. Comput. Math, vol. 4, pp, 279-289, 1986.
    [24] M. Suzuki,“General theory of higher-order decomposition of exponential operators and symplectic integrators,”Phys. Lett., vol. A 165, pp. 387-395, 1992.
    [25] H. Yoshida,“Construction of higher-order symplectic integrators,”Phys. Lett., vol. A 150, pp. 262-269, 1990.
    [26] G. Sun,“Construction of high order symplectic PRK methods,”J. Comput. Math, vol. 13, pp. 40-50, 1995.
    [27] G. Sun,“Symplectic partitioned Runge-Kutta method”, J. Comput. Math, vol. 11, pp. 250-260, 1993.
    [28] J. M. Sanz-Serna and M. P. Calvo, Numerical Hamiltonian Problems, London, U.K.: Chapman and Hall, 1994.
    [29] T. Hirono, W. W. Lui, and K. Yokoyama,“Time-domain simulation of electromagnetic field using a symplectic integrator,”IEEE Microwave Guided Wave Lett., vol. 7, pp. 279–281, 1997.
    [30] T. Hirono, W. W. Lui, and K. Yokoyama,“Stability and numerical dispersion of symplectic fourth-order time-domain schemes for optical field simulation,”IEEE J. Lightwave Tech. vol. 16, pp. 1915-1920, 1998.
    [31] I. Saitoh, Y. Suzuki, and N. Takahashi,“The symplectic finite difference time domain method,”IEEE Trans. Magn., vol. 37, pp. 3251–3254, 2001.
    [32] I. Saitoh, Y. Suzuki, and N. Takahashi,“Stability of symplectic finite difference time domain method,”IEEE Trans. Magn., vol. 38, pp. 665–668, 2002.
    [33] T. Hirono, W. Lui, W. S. Seki, and Y. Yoshikuni,“A three-dimensional fourth-order finite-difference time-domain scheme using a symplectic integrator propagator,”IEEE Trans. Microw. Theory Tech., vol. 49, pp. 1640–1647, Sep. 2001.
    [34] L. L. Jiang and X. L. Wu,“Study on the scattering of two-dimensional electromagnetic field based on symplectic integration method,”Electronica Sinica, vol. 32, pp. 1967-1970, 2004.
    [35]赵瑾,徐善驾和吴先良,“一种高阶辛时域有限差分法的研究,”电波科学学报,vol. 19, pp. 569-572, Oct. 2004.
    [36] M. Kusaf, A. Y. Oztoprak, and D. S. Daoud,“Optimized exponential operator coefficients or symplectic FDTD method,”IEEE Microwave and Wireless Components Lett., vol. 15, pp. 86-88, 2005.
    [37] R. Rieben, D. White, andG. Rodrigue,“High-order symplectic integration methods for finite element solutions to time dependent Maxwell equations.”IEEE Trans. Antennas Propagat., vol. 52, pp. 2190-2195, 2004.
    [38] S. Reich,“Multi-symplectic Runge–Kutta collocation methods for Hamiltonian wave equations,“J. Comput. Phys,,vol. 157, pp. 473–499, 2000.
    [39] L. Qinghong, S. Yongzhong, and W. Yushun,“On multi-symplectic partitioned Runge–Kutta methods for Hamiltonian wave equations,”App. Math. Comput. vol. 177, pp. 36–43, 2006.
    [40] M. Glasner, D. Yevick, and B. Hermansson,“Sixth-order generalized propagation technique,”Electron. Lett., vol. 27, pp. 475–478, Feb. 1991.
    [41]肖飞,唐小宏和张显静,“基于Taylor级数展开定理的高阶FDTD的色散分析,”微波学报,vol.21, pp.8-13 , Dec. 2005.
    [42] B. Engquist, A. Majda.“Absorbing boundary conditions for the numerical simulation of waves,”Math.Comput., vol. 31, pp. 629~651, 1977.
    [43] G. Mur,“Absorbing boundary conditions for the finite-difference approximation of the time-domain electromagnetic field equations,”IEEE Trans. EMC., vol.4, pp. 377-382, Nov. 1981.
    [44] J. -P. Berenger,“A perfectly matched layer for the absorption of electromagnetic waves,”J. Comput. Phys., vol. 114, pp. 185–200, Oct. 1994.
    [45] Z. S. Sacks, D. M. Kingsland, D. M. Lee,“A perfectly matched anisotropic absorber for use as an absorbing boundary condition,”IEEE Trans. Antennas Propagation, vol.43, pp. 1460-1463, Dec. 1995.
    [1]王秉中,计算电磁学,北京:科学出版社,2002.
    [2] D. W. Peaceman and H. H. Rachford,“The numerical solution of parabolic and elliptic differential equations,”J. Soc. Ind. Applicat. Math.,vol. 3, pp. 28–41, 1995.
    [3] G. D. Smith, Numerical Solution of Partial Differential Equations.Oxford, U.K.: Oxford Univ. Press, 1965.
    [4] T. Namiki and K. Ito,“A new FDTD algorithm free from the CFL condition restraint for a 2D-TE wave,”in IEEE AP-S Symp. Dig., Orlando,FL, July 1999, pp. 192–195.
    [5] F. Zheng, Z. Chen, and J. Zhang,“A finite-different time-domain method without the Courant stability condition,”IEEE Microwave GuidedWave Lett., vol. 9, pp. 441–443, Nov. 1999.
    [6] F. Zheng, Z. Chen, and J. Zhang,“Toward the development of a three-dimensional unconditionally stable finite-difference time-domain method,”IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1050–1058, Sept. 2000.
    [7] T. Namiki,“3-D ADI-FDTD method—unconditionally stable time-domain algorithm for solving full vector maxwell’s equations ,”IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1743–1748, Oct. 2000.
    [8] G. Liu and S. D. Gedney,“Perfectly matched layer media for an unconditionally stable three-dimensional ADI-FDTD method,”IEEE Trans. Microwave Theory Tech. vol. 10, pp. 261–263, 2000.
    [9] C. P. Chen, T. W. Lee, and N. Murugesan,“Generalized FDTD-ADI:an unconditionally stable full-wave Maxwell’s equations solver for VLSI interconnect modeling,”In: ICCAD-2000 Digest, pp. 156-163, 2000.
    [10] T. Namiki and K. Ito, "Investigation of numerical errors of the two-dimensional ADI-FDTD method," IEEE Trans. Microwave Theory Tech., vol. 148, pp. 1950-1956, Nov. 2000.
    [11] F. Zhang and Z. Chen,“Numerical dispersion analysis of the unconditionally stable-3-D ADI-FDTD method,”IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1006–1009, May. 2000.
    [12] A. P. Zhao, "Analysis of the numerical dispersion of the 2-D alternating-direction implicit FDTD method," IEEE Trans. Microwave Theory Tech., vol. 50, pp. 1156–1164, Apr. 2002.
    [13] Z. Wang, J. Chen, and Y. Chen,“Development of a higher-order ADIFDTD method,”Microw. Opt. Tech. Lett., vol. 37, pp. 8–12, Apr. 2003.
    [14] M. K. Sun and W. Y. Tam,“Analysis of the numerical dispersion of the2-D ADI-FDTD method with higher order scheme,”in Proc. Antennas and Propagation Soc. Int. Symp., vol. 4, 2003, pp. 348–351.
    [15] W. Fu and E. L. Tan,“Stability and dispersion analysis for higher order 3-D ADI-FDTD method,”IEEE Trans. Antennas Propagat., vol. 53, pp. 3691–3696, Nov 2005.
    [16] W. X. Zhong and F. W. Williams,“A precise time-step integration method,”Proc. Inst. Mech. Eng. C—J. Mech. Eng. Sci., vol. 208, pp. 427–430, Jun. 1994.
    [17] J. Zhao, X. Ma, Y. Li, and G. Qiu,“Analysis of the electromagnetic transient of multiphase transmission lines by the precise integration method,”(in Chinese) J. High-Voltage Technol., vol. 27, pp. 3–4, Apr. 2001.
    [18] J. Zhao, X. Ma, and G. Qiu,“Analysis on transient response of lossy transmission lines with arbitrary loads by precise integration method,”(in Chinese) J. Microelectron., vol. 31, pp. 431–433, Dec. 2001.
    [19] M. Tang and X. Ma,“A precise integration algorithm for transient simulation of interconnects in high-speed VLSI,”(in Chinese) J. Electron.,vol. 32, pp. 787–790, May 2004.
    [20] X. Ma, X. Zhao, and Y. Zhao,“A 3-D precise integration time-domain method without the restraints of the Courant-Friedrich-Levy stability condition for the numerical solution of Maxwell’s equations”, IEEE Trans. Microwave Theory Tech., vol.54, pp. 3026–3037, Jul. 2006.
    [21] S. Xiao, R. Vahldieck, and H. Jin,“Full-wave analysis of guided wave structures using a novel 2-D FDTD,”IEEE Microw. Guided Wave Lett., vol. 2, no. 5, pp. 165–167, May 1992.
    [22] S. Xiao and R. Vahldieck,“An efficient 2-D FDTD algorithm using real variables,”IEEE Microwave Guided Wave Lett., vol. 3, pp. 127–129, May 1993.
    [23] M. Fujii and S. Kobayashi,“Accurate analysis of losses in waveguide structures by compact two-dimensional FDTD method combined with autoregressive signal analysis,”IEEE Trans. Microwave Theory Tech., vol. 44, pp. 970–975, June 1996.
    [24] S. Hofschen and I. Wolff,“Improvements of two-dimensional FDTD method for the simulation of normal and superconducting planar waveguides using time series analysis,”IEEE Trans. Microwave Theory Tech.,vol. 44, pp. 1487–1490, Aug. 1996.
    [25] B. Wang, W. Shao, and Y. Wang,“2-D FDTD Method for Exact Attenuation Constant Extraction of Lossy Transmission Lines,”IEEE Microwave and Wireless Component lett., vol. 14, pp. 289-291, Jun. 2004
    [26] A. Cangellaris,“Numerical stability and numerical dispersion of a compact 2-D/FDTD method used for the dispersion analysis of waveguides,”IEEE Microwave and Guided Wave lett., vol. 3, pp. 3-5, Jan. 1993
    [27] B. Wang, Y. Wang, W. Yu, and R. Mittra,“A hybrid 2D ADI-FDTD subgridding scheme for modeling on-chip interconnects,”IEEE Trans.Adv. Packag., vol. 24, no. 4, pp. 528–535, Nov. 2001.
    [28] C. Ma, Z. Chen, and A. Zhao,“Development of an unconditionally stable full-wave 2D ADI-FDTD method for analysis of arbitrary wave guiding structures,”in Proc. IEEE MTT-S Int. Microwave Symp. Dig, Seattle,WA, Jun. 2002, pp. 2049–2052.
    [1] D. A. Hodges, H. G. Jackson, and R. A. Saleh, Analysis and Design of Digital Integrated Circuits In Deep Submicron Technology, Third Edition, MA: McGraw-Hill, 2005.
    [2] W. J. Dally,“Interconnect-limited VLSI architecture,”in Proc. IEEE Int. Conf. Interconnect Technology, 1999, pp. 15–17.
    [3] M. T. Bohr,“Interconnect scaling—the real limiter to high performance ULSI,”in IEDM Tech. Dig., pp. 241–244, 1995.
    [4] J. D. Meindl,“Beyond moore’s law: The interconnect era,”Comput. Sci. Eng., pp. 20–24, 2003.
    [5] International Technology Roadmap for Semiconductors (ITRS), 2001.
    [6] L. P. P. Van-Ginneken,“Buffer placement in distributed RC-tree networks for minimal Elmore delay,”in Proc. Int. Symp. Circuits and Systems, pp. 865-868, 1990.
    [7] H. B. Bakoglu, Circuits, Interconnections and Packaging for VLSI, MA: Addision-Wesley, 1990.
    [8] C. Alpert and A. Devgan,“Wire segmenting for improved buffer insertion,”in Proc. Design Automation Conf., pp. 588-593, 1997.
    [9] R. H. J. M. Otten and R. K. Brayton,“Planning for performance,”in Proc. Design Automation Conf., pp. 122–127, 1998.
    [10] Shah, H., Shiu, P., Bell, B., etc.,“Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects,”Computer Aided Design, 2002. ICCAD 2002. IEEE/ACM International Conference on, pp. 280– 284,Nov. 2002.
    [11] Banerjee, K.,Mehrotra, A.,“A power-optimal repeater insertion methodology for global interconnects in nanometer designs,”Electron Devices, IEEE Transactions on , vol. 49 , pp. 2001– 2007, Nov. 2002.
    [12] T. D. Hodes, B. A. McCoy, and G. Robins,“Dynamically-wiresized Elmore-based routing constructions,”in Proc. IEEE Int. Symp. Circuits and Systems, vol.I, pp. 463–466, May 1994.
    [13] S. S. Sapatnekar,“RC interconnect optimization under the Elmore delay model,”in Proc. IEEE/ACM Design Automation Conf., pp.387–391, Jun. 1994.
    [14] J. J. Cong and K. Leung,“Optimal wiresizing under Elmore delay model,”IEEE Trans. Computer-Aided Design, vol. 14, pp. 321–336, Mar. 1995.
    [15] J. J. Cong, K. Leung, and D. Zhou,“Performance-driven interconnect design based on distributed RC delay model,”in Proc. IEEE Design Automation Conf., pp. 606–611, Jun. 1993.
    [16] C. P. Chen and N. Menezes,“Spec-based repeater insertion and wire sizing for on-chip interconnect,”in Proc. IEEE Int. Conf. VLSI Design, pp. 476–483, Jan. 1999.
    [17] T. Lin and L. T. Pileggi,“Throughput-driven IC communication fabric synthesis,”in Proc. IEEE/ACM Int. Conf. Computer Aided Design, pp. 274–279, 2002.
    [18] A. Naeemi, R. Venkatesan and J. D. Meindl,“Optimal global interconnects for GSI,”IEEE Transactions on Electron Devices, vol. 50, pp.980-987, Apr. 2003.
    [19] M. M Lung, K. Banerjee and A. Mehrotra,“A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth, and power dissipation,”IEEE Transactions on Electron Devices, vol. 51, pp.195-203, Feb. 2004.
    [20] X. C. Li, F. M. Mao, H. F. Huang and Y. Liu,“Global interconnect width and spacing optimization for latency, bandwidth and power dissipation,”IEEE Transactions on Electron Devices, vol. 52, pp.2272-2279, Oct. 2005.
    [21] M. Tang and J. F. Mao,“Analysis and optimization of global interconnects in high performance VLSI,”in Proc. IEEE Int. Conf. VLSI Design, Jan. 2006.
    [22] A. Deutsch, et al,“When are transmission line effects important for on-chip interconnects,”IEEE Trans. MTT, vol. 45, pp. 1836-1846, 1997.
    [23] A. Deutsch,“Electrical characteristics of interconnections for high performance systems,”In Proc. IEEE, pp. 315-355, 1998.
    [24] A. Deutsch,“On chip wiring design challenges for gigahertz operation,”In Proc. IEEE, pp. 529-553, 2001.
    [25] K.Lee,“On-chip interconnects-gigahertz and beyond,”Solid State Teehnology,vol.41, pp.85一89, 1998.
    [26] X.W. Lin and D. Pramanik,“Future interconnect technologies and copper metallization,”Solid State Teehnology,vol.41, pp.63一79, 1998.
    [27] R. H. Havemann and J. A. Hutchby,“High-performance interconnects: An integration overview,”In Proc. IEEE, vol. 89, pp. 586–601, May 2001.
    [28] K.Nabors and J.K. White,“FASTCAP: a multipole-accelerated 3-D capacitance extraction program,”IEEE Trans. Computer-Aided Design, vol. 10, pp.1447-1459, Nov. 1991.
    [29] K.Banerjee and A. Mehrotra,“A power-optimal repeater insertion methodology for global interconnects in nanometer desighs,”IEEE Trans. Electron Devices, vol.49, pp. 2001-2007, Nov. 2002.
    [30] J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits:A Design Perspective. Upper Saddle River, NJ: Prentice-Hall, 2003.
    [31] A. Chandrakasan and R.W. Brodersen, Low Power Digital CMOS Design. Boston, MA: Kluwer, 1995.
    [32] H. J. M. Veendrick,“Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits,”IEEE J. Solid-State Circuits, vol. 19, pp. 468–473, Aug. 1984.
    [33] D. Sylvester and K. Keutzer,“Impact of small process geometry on microarchitectures in systems on a chip,”In Proc. IEEE, vol. 89, pp. 467–489, Apr. 2001.
    [34] R. Venkatesan, et al,“Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI),”IEEE Trans. VLSI Syst., vol. 9, pp. 899–912, Dec. 2001.
    [35] J. C. Eble, V. K. De, D. S. Wills, and J. D. Meindl,“Minimum repeater count, size, and energy dissipation for gigascale integration interconnects,”In Proc. IEEE Int. Interconnect Technol. Conf., pp.56–58, June 1998.
    [36] A. Naeemi and J. D. Meindl,“An optimal partition between on-chip and on-board interconnects,”In Proc. IEEE Int. Interconnect Technol. Conf., pp. 131–133, June 2001.
    [1] A. Ruehli.“Inductance calculations in a complex integrated circuit environment,”IBM Journal of Research and Development, vol.16, no.5, pp.470–481, Sept.1972.
    [2] K. Gala, V. Zolotov, R. Panda, B. Young, J. Wang, and D. Blaauw,“On-chip inductance modeling and analysis,”In Proc. 37th Design Automation Conference, pp.63–68, Jun 2000.
    [3] M. W. Beattie and L. T. Pileggi,“Inductance 101: Modeling and extraction,”In Proc. of 38th Design Automation Conference, June 2001.
    [4] X.Qi, B. et al.,“On-chip inductance modeling of VLSI interconnects,”Int. Solid-State Circuit., pp. 172-173. 2000.
    [5] Y. Cao, C. M. Hu, and X. J. Huang.“Effects of global interconnect optimizations on performance estimation of deep submicron design,”Computer Aided Design, 2000. ICCAD-2000. IEEE/ACM International Conference on, pp. 56– 61, Nov. 2000.
    [6] P.Saxena and S. Gupta,“On integrating power and signal routing for shield count minimization in congested regions,”IEEE Trans. Very Large Scale Integration(VLSI) System, vol.22, no.4, pp.437-445, Apr 2003.
    [7] S.Tam et al.,“Clock generation and distribution for the first IA-64 microprocessor,”IEEE J. Solid-State Circuits, vol.35, pp.1545-1552, Nov.2000.
    [8] C. K. Cheng, et al, Interconnect Analysis and Synthesis, John Wiley and Sons, 2000.
    [9] X. Qi, et al.“On-chip inductance modeling of VLSI interconnects,”International Solid-State Circuits Conference, pp. 172–173, December 2000.
    [10] A.Deutsch et al.,“On-chip wiring design challenges for gigahertz operation,”Special Proc.IEEE, vol.89, pp. 529-554, Apr.2001.
    [11] K. L. Shepard and Z. Tian,“Return-limited inductances: A practical approach to on-chip inductance extraction,”IEEE Transactions on Computer-Aided Design, vol.19, no.4, pp.425–436, Apr 2000.
    [12] S. Kim, Yehia Massoud and S.Simon Wong,“On the accuracy of return path assumption for loop inductance extraction for 0.1um technology and beyond”, In Proc. IEEE Quality Electronic Design, pp.401-404, Mar 2003.
    [13] M. Kamon, M. J. Tsuk, and J. White.“FASTHENRY, A Multipole-Accelerated 3-D inductance extraction program,”Proc. 30th Design Automation Conference, Jun 1993.
    [14] J. A. Davis and J. D. Meindl,“Compact distributed RLC interconnect models–single-line transient, time delay, and overshoot expressions,”IEEE Trans. Electron Devices, vol. 47, pp. 2068–2087, Nov. 2000.
    [15] M.A.EI-Moursy and Eby.G.Friedman,“Power characteristic of inductive interconnect”, IEEE Trans .Very Large Scale Integration(VLSI)Systems, vol.12, no. 12, Dec. 2004.
    [1] D. Q. Chen, E. Li, E. Rosenbaum, and S. Kang,“Interconnect thermal modeling for accurate simulation of circuit timing and reliability,”IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, pp. 197-205, Feb. 2000.
    [2] T. Y. Chiang, K. Banerjee, and K. Saraswat,“Analytical thermal model for multilevel VLSI interconnects incorporating via effect,”IEEE Electron Device Lett., vol. 23, no. 1, pp. 31–33, Jan. 2002.
    [3] S. Im, N. Srivastave, K. Banerjee and K. E. Goodson,“Scaling analysis of multilevel interconnect temperatures for high-performance ICs,”IEEE Trans. on Electron Devices, vol. 52, pp. 2710-2719, Dec. 2005.
    [4] K. Sundaresan and N. R. Mahapatra,“Accurate energy dissipation and thermal modeling for nanometer-scale buses”, Proc. of IEEE international Symp. High-Performance Computer Architecture, pp. 51-60, Feb. 2005
    [5] M. Pedram and S. Nazarian,“Thermal modeling, analysis and management in VLSI circuits: principles and methods”, Proc. of IEEE special issue on Thermal Analysis of ULSI, pp. 1487-1501, Aug. 2006.
    [6] W. Huang, etc.“HotSpot: A compact thermal modeling methodology for early-stage VLSI design,”IEEE Trans. on VLSI System, vol. 14, pp. 501-513, May. 2006.
    [7] W. R. Hunter,“Self-consistent solutions for allowed interconnect current density-Part I: Implications for technology evolution,”IEEE Trans. on Electron Devices, vol. 44, pp. 304-309, Feb. 1997.
    [8] K. Banerjee, A. Mehrotra, A. S. Vincentelli and C. Hu,“On thermal effects in deep sub-micron VLSI interconnects,”Proc. of Design Automation Conference, pp. 885– 891, 1999.
    [9] Z. J. Lu, etc,“Interconnect lifetime prediction for reliability-aware systems,”IEEE Trans. on VLSI System, vol. 15, Feb. 2007.
    [10] M. L. Mui, K. Banerjee, and A. Mehrotra,“Supply and power optimization in leakage-dominant technologies,”IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, pp. 1362-1371, Sep. 2005.
    [11] T. Y. Wang, J. L. Tsai, and C. P. Chen,“Thermal and power integrity based power/ground networks optimization,”Proc. of Design, Automation and Test in Europe Conference and Exhibition, pp.830-835, Feb. 2004.
    [12] P. Li, Y. D. Deng, and L. T. Pileggi,“Temperature-dependent optimization of cache leakage power dissipation,”In Proc. of IEEE International Conference on Computer Design, pp.7-12, Oct. 2005.
    [13] R. J. Baker, H. W. Li and D. E. Boyee, CMOS: Circuit Design, Layout, and Simulation. MA: Wiley-IEEE Press, 1997.
    [14] T. Sakurai,“Close-form expression for interconnection delay, coupling, and crosstalk in VLSI’s,”IEEE Trans. on Electron Devices, vol. 45, pp. 1836-1846, Oct. 1997.
    [15] P. Kapur et al.,“Technology and Reliability Constrained Future Copper Interconnects–Part I: Resistance Modeling,”IEEE Trans. on Electron Devices, vol. 49, pp. 590–597, Apr. 2002.
    [16] R. S. Muller, T. I. Kamins and M. Chan, Device Electronics for Integrated Circuit, Third Edition. MA: John Wiley & Sons, Inc, 2003.
    [17] H. J. M. Veendrick,“Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits,”IEEE Journal of Solid-State Circuits, vol. 19, pp. 468-473, Aug. 1984.
    [18] B. Chatterjee, et al,“Effectiveness and scaling trends of leakage control techniques for sub-100 nm CMOS technologies,”in Proc. Int. Symp. Low-Power Electronics, Seoul, Korea, pp. 122–127, 2003.
    [19] International Technology Roadmap for Semiconductors (ITRS), 2004.
    [20] A. Naeemi, R. Venkatesan and J. D. Meindl,“Optimal global interconnects for GSI,”IEEE Transactions on Electron Devices, vol. 50, pp.980-987, Apr. 2003.
    [21] M. M Lung, K. Banerjee and A. Mehrotra,“A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth, and power dissipation,”IEEE Transactions on Electron Devices, vol. 51, pp.195-203, Feb. 2004.
    [22] X. C. Li, F. M. Mao, H. F. Huang and Y. Liu,“Global interconnect width and spacing optimization for latency, bandwidth and power dissipation,”IEEE Transactions on Electron Devices, vol. 52, pp.2272-2279, Oct. 2005.
    [23] M. Tang and J. F. Mao,“Analysis and optimization of global interconnects in high performance VLSI,”in Proc. IEEE Int. Conf. VLSI Design, Jan. 2006.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700