OFDM系统中高性能LDPC码解码器的研究与实现
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着多媒体广播、蜂窝通信、VLSI技术不断向前发展,移动用户数量和业务成指数关系增长。下一代通信系统必然具有大容量、高速度以及低功耗的特点。由于全球无线电环境千变万化,各种标准层出不穷,多模式可配置移动终端是大势所趋。为了保证传输的可靠性,FEC码获得了高速发展和广泛应用。作为最接近香农极限的FEC码,LDPC码被广泛应用于DVB-S2、DTMB、CMMB、WLAN、WiMAX等系统中。因此,设计高性能LDPC码解码器是当前研究热点之一。
     由于存在大量的并行计算、存储器读写访问以及寄存器翻转,高速LDPC码解码器的功耗和面积都比较大。而提高并行度会带来很多问题,诸如数据相关性、可配置性以及存储器访问冲突等。本论文针对不同应用环境设计LDPC码解码器,解决高并行度带来的相关问题,优化它们的功耗和面积,提高它们的可配置性。
     通过加快算法收敛速度、横向更新和纵向更新完全交叠、双通道并行计算、以及深度流水线等关键技术,解码器的吞吐率得到进一步提高。通过减少存储器访问、动态门控时钟、提前终止策略以及并行和流水线处理等关键技术,进一步降低了解码器的功耗。通过降低计算复杂度、减少存储器资源、优化存储器结构以及提高利用率等关键技术,进一步减小了解码器的面积。
     基于以上关键技术的研究,设计并实现了四块不同并行度的解码器Ⅰ、Ⅱ、Ⅲ和Ⅳ。解码器Ⅰ和Ⅱ的吞吐率达到100Mb/s,适合于DTMB和CMMB等多媒体广播系统;解码器Ⅲ和Ⅳ的吞吐率大于250Mb/s,适合于WiMAX和4G等高速蜂窝移动通信系统。所有以上关键技术和方法都通过了解码器芯片的验证和测试。
With rapid developments of multimedia broadcasting, celluar communication, and VLSI technology, mobile users and services grow at an exponential rate.The next generation of communication system must feature large capacity, high speed, and lower power. Due to changing radio environments and diverse standards, multi-mode configurable mobile terminals are in demand. To insure reliable transmission, FEC codes get fast developments and wide applications. LDPC code, close to Shannon limit, is widely applied in the DVB-S2, DTMB, CMMB, WLAN, WiMAX, etc. Therefore, designing high-performance LDPC decoder is now one of the hotspots.
     Due to mass parallel computing, memory read-write accesses, and register overturns, high-speed LDPC decoders feature high power and large area. Improving parallel degree results many problems, such as data dependency, configurability, memory access conflicts, etc. Based on different applications, the dissertation designs several LDPC decoders, solves the problems from high parallel degree, optimizes their power consumption and area, and boosts their configurability. The main contributions of the dissertation include the following aspects.
     With key techniques of speeding up decoding convergency, two-phase fully overlapping, dual-path parallel computing, and deep pipelining, the decoders' throughputs are improved further. With key techniques of memory access reduction, dynamic clock gating, early termination strategy, and parallel and pipelining, the decoders'powers are lowered further. With key techniques of computing complexity and memory resource reduction, memory structure optimization, and utilization ratio improvement, the decoders'areas are much smaller.
     Based on the study of the above key techniques, four decodersⅠ,Ⅱ,Ⅲ, andⅣwith different parallel degrees are designed and implemented. DecodersⅠandⅡattain about 100Mb/s and are fit for the multimedia broadcasting systems:DTMB and CMMB; DecodersⅢandⅣattain>250Mb/s and are fit for the high-speed celluar mobile communication system:WiMAX and 4G. All the key techniques and methods are tested and verified in the decoder chips.
引文
[1]ETSI EN 300 401 V1.4.1, "Radio Broadcasting Systems; Digital Audio Broadcasting (DAB) to mobile, portable and fixed receivers," 2006.
    [2]http://hdtv-features.com, "Introduction to High Definition Television".
    [3]ETSI EN 300 429, "Digital Video Broadcasting (DVB) Framing structure, channel coding and modulation for cable systems (DVB-C)," 1998.
    [4]ETSI EN 300 744 V1.5.1, "Digital Video Broadcasting (DVB); Framing structure, channel coding and modulation for digital terrestrial television (DVB-T)," 2004.
    [5]ETSI EN 302 304 V1.1.1, "Digital Video Broadcasting (DVB); Transmission System for Handheld Terminals (DVB-H)," 2004.
    [6]ETSI EN 302 307 V1.1.2, "Digital Video Broadcasting (DVB); Second generation framing structure, channel coding and modulation systems for Broadcasting, Interactive Services, News Gathering and other broadband satellite applications (DVB-S2)," 2006.
    [7]ANSI/CEA 766-C-2008, "U.S. and Canadian Rating Region Tables (RRT) and Content Advisory Descriptors for Transport of Content Advisory Information Using ATSC Program and System Information Protocol (PSIP)," 2008.
    [8]IEC 62360-2008, "Baseline specifications of satellite and terrestrial receivers for ISDB (Integrated Service for Digital Broadcasting)," 2008.
    [9]中华人民共和国国家标准GB 20600-2006,“数字电视地面广播传输系统帧结构、信道编码和调制,”2006。
    [10]中华人民共和国广播电影电视行业标准GY/T 220.1-2006,“移动多媒体广播:广播信道帧结构、信道编码和调制,”2006。
    [11]EN 301701-2000, "Digital Video Broadcasting (DVB)-OFDM modulation for microwave digital terrestrial television," 2001.
    [12]John G. Proakis, Wireless & Cellular Telecommunications, Third Edition, McGraw-Hill,2006.
    [13]F. H. Blecher, "Advanced Mobile Phone Service," IEEE Transactions on Vehicular Technology, vol.29, no.2, pp.238-244, May 1980.
    [14]Bernard J. T. Mallinder, "An Overview of the GSM System," Conference Proceedings, Digital Cellular Radio Conference, Hagen FRG, Oct.1998.
    [15]A. J. Viterbi, CDMA, Principles of Spread Spectrum Communication, Addison Wesley,1995.
    [16]C. Smity and D. Collins,3G Wireless Networks, McGraw-Hill,2002.
    [17]S. C. Yang,3G CDMA2000, Artech House,2004.
    [18]W. L. Li, "TD-SCDMA Workshop on Next Generation Networks:What, When and How?" Geneva,9-10 Jul.2003.
    [19]YD/T 1372.1-2006, "Technical requirements for Un Interface of 2GHz TD-SCDMA digital cellular mobile communication network layer 2 technical specification part 1:MAC protocol," 2006.
    [20]ITU, "Radio Requirements of 4G Systems," issued July 2003.
    [21]WiFi Alliance, www.wi-fi.org.
    [22]Carl Eklund, Roger B. Marks, Kenneth L. Stanwood and Stanley Wang, "IEEE Standard 802.16:a technical overview of the WirelessMANTM air interface for broadband wireless access," IEEE Communications Magazine, vol.40, no.6, pp. 98-107, Jun.2002.
    [23]http://grouper.ieee.org/groups/802/16, "IEEE 802.16 and WiMAX Broadband Wireless Access for Everyone".
    [24]http://www.3gpp.org/LTE, Long Term Evolution.
    [25]C. E. Shannon, "A Mathematical Theory of Communication," Bell System Technical Journal, vol.27, pp.379-423,623-656, Jul.-Oct.1948.
    [26]John B. Anderson and Arne Svensson, Coded Modulation Systems, Kluwer Academic/Plenum Publishers, New York,2002.
    [27]W. W. Peterson and E. J. Weldon, Error-Correcting Codes, MIT Press,1972.
    [28]Shu Lin and Daniel J. Coslello, Error Control Coding, Prentice Hall,2004.
    [29]Todd K. Moon, Error Correction Coding, Wiley-Interscience,2005.
    [30]R. G. Gallager. "Low density parity check codes," IRE Transactions on Information Theory, vol.8, pp.21-28, Jan.1962.
    [31]D. J. C. MacKay and R. M. Neal, "Near Shannon Limit Performance of Low Density Parity Check Codes," IEEE Electronics Letter, vol.32, no.18, pp. 1645-1646,1996.
    [32]C. Berrou and A. Glavieux, "Near optimum error correcting coding and decoding: Turbo-codes," IEEE Transactions on Communications, vol.44, no.10, pp. 1261-1271, Oct.1996.
    [33]John G. Proakis, Digital Communications, Fourth Edition, McGraw-Hill,2001.
    [34]L. R. Bahl, J. Cocke, F. Jelinek, and J. Raviv, "Optimal Decoding of Linear Codes for Minimizing Symbol Error Rate," IEEE Transactions on Information Theory, vol.20, no.2, pp.284-287,1974.
    [35]D. MacKay and M. Postol, "Weaknesses of margulis and ramanujan-margulis lowdensity parity-check codes," Electronic Notes in Theoretical Computer Science, vol.74,2003.
    [36]R. Garello, F. Chiaraluce, P. Pierleoni, and M. Scaloni, "On error floor and free distance of turbo codes," IEEE International Conference on Communications, Jun.2001, vol.1, pp.45-49.
    [37]R. M. Tanner, "A recursive approach to low complexity codes," IEEE Transactions on Information Theory, vol.27, no.5, pp.533-547, Sep.1981.
    [38]J. Lu and J. M. F. Moura, "Structured LDPC codes for high-density recording: large girth and low error floor," IEEE Transactions on Magnetics, vol.42, no.2, pp.208-213, Feb.2006.
    [39]Xueqin Jiang and Moon Ho Lee, "Large girth quasi-cyclic LDPC codes based on the chinese remainder theorem," IEEE Communications Letters, vol.13, no.5, pp.342-344, May 2009.
    [40]M. G. Luby, M. Mitzenmacher, M. A. Shokrollahi, and D. A. Spielman, "Analysis of Low-Density Parity-check Codes and Improved Designs using Irregular Graphs," Proceedings of the 30th Annual Symposium on Theory of Computing,1998, pp.249-258.
    [41]T. J. Richardson, M. A. Shokrollahi, and R. L. Urbanke, "Design of Capacity-Approaching Irregular Low-Density Parity-check Codes," IEEE Transactions on Information Theory, vol.47, no.2, pp.619-637, Feb.2001.
    [42]S. Myung, K. Yang, and J. Kim, "Quasi-cyclic LDPC codes for fast encoding," IEEE Transactions on Information Theory, vol.51, no.8, pp.2894-2901, Aug. 2005.
    [43]Z. He, P. Fortier, and S. Roy, "A class of irregular LDPC codes with low error floor and low encoding complexity," IEEE Communications Letters, vol.10, no. 5, pp.372-374, May 2006.
    [44]Lingqi Zeng, Lan Lan, Y. Y. Tai, Shumei Song, Shu Lin, and K. Abdel-Ghaffar, "Constructions of Nonbinary Quasi-Cyclic LDPC Codes:A Finite Field Approach," IEEE Transactions on Communications, vol.56, no.4, pp.545-554, 2008.
    [45]L. D. Rudolph, "A class of majority logic decodable codes," IEEE Transactions on Informormation Theory, vol.13, no.2, pp.305-307, Apr.1967.
    [46]I. S. Reed, "A Class of Multiple-Error-Correcting Codes and the Decoding Scheme," IRE Trans., IT-4:38-49, Sep.1954.
    [47]J. L. Massey, Threshold Decoding, MIT Press, Cambridge,1963.
    [48]N. Wiberg, "Codes and decoding on general graphs," Linkoping Studies in Sci. and Technol., Ph. D. dissertations, Linkoping, Sweden,1996.
    [49]D. J. C. MacKay, "Good error-correcting codes based on very sparse matrices," IEEE Transactions on Information Theory, vol.45, no.2, pp.399-431, Mar. 1999.
    [50]J. Hagenauer, E. Offer, and L. Papke, "Iterative decoding of binary block and convolutional codes," IEEE Transactions on Information Theory, vol.42, no.2, pp.429-445, Mar.1996.
    [51]A. Viterbi, "An intuitive justification and a simplified implementation of the MAP decoder for convolutional codes," IEEE JSAC, pp.260-264, Feb.1998.
    [52]X-Y. Hu, E. Eleftherious, D-M. Arnold, and A. Dholakia, "Efficient implementation of the sum-product algorithm for decoding LDPC codes," in Proc.2001 IEEE GlobeCom Conference, Nov.2001, pp.1036-1036E.
    [53]J. H. Chen and M. C. Fossorier, "Decoding low-density parity-check codes with normalized APP-based algorithm," in Proc.2001 IEEE GLOBECOM, Nov.2001, vol.2, pp.1026-1030.
    [54]J. H. Chen, Reduced Complexity Decoding Algorithms for Low-Density Parity-Check Codes and Turbo Codes, Ph. D. dissertation, University of Hawaii, USA,2003.
    [55]M. M. Mansour and N. R. Shanbhag, "A 640-Mb/s 2048-bit programmable LDPC decoder chip," IEEE Journal of Solid-State Circuits, vol.41, no.3, pp. 634-698, Mar.2006.
    [56]F. Guilloud, Generic architectures for LDPC codes decoding, Ph. D. dissertation, Telecom Paris, Paris, France, Jul.2004.
    [57]J. Zhang and M. Fossorier, "Shuffled belief propagation decoding," in Conf. Rec. 36th Asilomar Conf. Signals, Syst. Comput., Nov.,2002, pp.8-15.
    [58]J. Zhang and M. Fossorier, "Shuffled iterative decoding," IEEE Transactions on Communications, vol.53, no.2, pp.209-213, Feb.2005.
    [59]Keshab K. Parhi, VLSI Digital Signal Processing Systems:Design and Implementation, John Wiley & Sons, Inc.,1999.
    [60]A. J. Blanksby and C. J. Howland, "A690-mWl-Gb/s 1024-b, rate-1/2 low-density parity-check code decoder," IEEE Journal of Solid-State Circuits, vol.37, pp.404-412, Mar.2002.
    [61]S. Kim, G. E. Sobelman, and J. Moon, "Parallel VLSI architectures for a class of LDPC codes," in Proc. IEEE ISCAS, May 2002, vol.2, pp.93-96.
    [62]P. Urard, E. Yeo, L. Paumier, P. Georgelin, T. Michel, V. Lebars, E. Lantreibecq, and B. Gupta, "A 135M/s DVB-S2 compliant codec based on 64800b LDPC and BCH codes," in Proc. IEEE ISSC, Feb.2005, pp.446-609
    [63]T.-C. Kuo and A. N. Willson, "A Flexible Decoder IC for WiMAX QC-LDPC Codes," in Proc. IEEE CICC, Sep.2008, pp.527-530.
    [64]Chih-Hao Liu, Shau-Wei Yen, Chih-Lung Chen, Hsie-Chia Chang, Chen-Yi Lee, Yar-Sun Hsu, and Shyh-Jye Jou, "An LDPC Decoder Chip Based on Self-Routing Network for IEEE 802.16e Applications," IEEE Journal of Solid-State Circuits, vol.43, no.3, pp.684-694, Mar.2008.
    [65]Xin-Yu Shih, Cheng-Zhou Zhan, Cheng-Hung Lin, and An-Yeu (Andy) Wu, "An 8.29 mm2 52 mW Multi-Mode LDPC Decoder Design for Mobile WiMAX System in 0.13 CMOS Process," IEEE Journal of Solid-State Circuits, vol.43, no.3, pp.672-683, Mar.2008.
    [66]Zhengya Zhang, Venkat Anantharam, Martin J. Wainwright, and Borivoje Nikoli, "A 47 Gb/s LDPC Decoder with Improved Low Error Rate Performance," in Proc. IEEE Symposium on VLSI Circuits, Jun.2009, pp.286-287.
    [67]Zhengya Zhang, Venkat Anantharam, Martin J. Wainwright, and Borivoje Nikolic, "An Efficient 10GBASE-T Ethernet LDPC Decoder Design With Low Error Floors," IEEE Journal of Solid-State Circuits, vol.45, no.4, pp.843-855, Apr.2010.
    [68]A. Darabiha, A. C. Carusone, and F. R. Kschischang, "Power Reduction Techniques for LDPC Decoders," IEEE Journal of Solid-State Circuits, vol.43, no.8, pp.1835-1845, Aug.2008.
    [69]Y. Chen and K. K. Parhi, "Overlapped Message Passing for Quasi-Cyclic Low Density Parity Check Codes," IEEE Transactions on Circuits and Systems, vol. 51, no.6, pp.1106-1113, Jun.2004.
    [70]N. Chen, Y Dai, and Z. Yan, "Partly Parallel Overlapped Sum-Product Decoder Architectures for Quasi-Cyclic LDPC Codes," in Proc. IEEE SIPS, Oct.2006, pp. 220-225.
    [71]M. M. Mansour and N. R. Shanbhag, "Low-power VLSI decoder architectures for LDPC codes," in Proc. IEEE ISLPED, Aug.2002, pp.284-289.
    [72]T. Zhang and K. K. Parhi, "A 54 Mbps (3,6)-regular FPGA LDPC decoder," in Proc. IEEE SIPS, Oct.2002, pp.127-132.
    [73]M. Karkooti and J. R. Cavallaro, "Semi-parallel reconfigurable architectures for real-time LDPC decoding," in Proc. IEEE ITCC, Apr.2004, vol.1, pp.579-585.
    [74]K. Shimizu, N. Togawa, T. Ikenaga, and S. Goto, "Power-Efficient LDPC Code Decoder Architecture," in Proc. IEEE ISLPED, Aug.2007, pp.359-362.
    [75]N. Jiang, K. Peng, J. Song, C. Pan, and Z. Yang, "High-Throughput QC-LDPC Decoders," IEEE Transactions on Broadcasting, vol.55, no.2, pp.251-259, Jun. 2009.
    [76]L. Zhang, L. Gui, Y. Xu, and W. Zhang, "Configurable Multi-Rate Decoder Architecture for QC-LDPC Codes Based Broadband Broadcasting System," IEEE Transactions on Broadcasting, vol.54, no.2, pp.226-235, Jun.2008.
    [77]Z. Wang, and Z. Cui, "Low-Complexity High-Speed Decoder Design for Quasi-Cyclic LDPC Codes," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, no.1, pp.104-114, Jan.2007.
    [78]H. Zhong, W. Xu, N. Xie, and T. Zhang, "Area-Efficient Min-Sum Decoder Design for High-Rate Quasi-Cyclic Low-Density Parity-Check Codes in Magnetic Recording," IEEE Transactions on Magnetics, vol.43, no.12, pp. 4117-4122, Dec.2007.
    [79]Z. Wang and Z. Cui, "A Memory Efficient Partially Parallel Decoder Architecture for QC-LDPC Codes," in Proc. IEEE Signals, Systems, and Computers, Oct.2005, pp.729-733.
    [80]C. Yun, Z. Xiao-yang, L. Yi-Fan, X. Bo, and D. Yun-Song, "VLSI design of an irregular LDPC decoder in DTMB," Journal on Communications, vol.28, no.8, pp.61-66, Aug.2007.
    [81]Bo Xiang, Rui Shen, An Pan, Dan Bao, and Xiaoyang Zeng, "An Area-Efficient and Low-Power Multirate Decoder for Quasi-Cyclic Low-Density Parity-Check Codes," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, to be published.
    [82]Dan Bao, Bo Xiang, Rui Shen, An Pan, Yun Chen, and Xiaoyang Zeng, "Programmable Architecture for Flexi-Mode QC-LDPC Decoder Supporting Wireless LAN/MAN Applications and Beyond", IEEE Transactions on Circuits and Systems, vol.57, no.1, pp.125-138, Mar.2010.
    [83]D. Hocevar, "A reduced complexity decoder architecture via layered decoding of LDPC codes," in Proc. IEEE SIPS, Oct.2004, pp.107-112.
    [84]K. Gunnam, G. Choi, M. Yeary, and M. Atiquzzaman, "VLSI architectures for layered decoding for irregular LDPC codes of WiMax," in Proc. IEEE ICC, Jun. 2007, pp.4542-4547.
    [85]Z. Cui, Z. Wang, and Y. Liu, "High-Throughput Layered LDPC Decoding Architecture" IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.17, no.4, pp.582-587, Apr.2009.
    [86]Y. Sun, M. Karkooti, and J. R. Cavallaro, "VLSI Decoder Architecturefor High Throughput, Variable Block-size and Multi-rate LDPC Codes," in Proc. IEEE ISCAS, May 2007, pp.2104-2107.
    [87]Bo Xiang and Xiaoyang Zeng, "A Fully-Overlapped Multi-Mode QC-LDPC Decoder Architecture for Mobile WiMAX Applications," 2010 IEEE 21st International Conference on Application-SpecificSystems, Architecture and Processors (ASAP 2010), to be published.
    [88]Jan M. Rabaey, Digital Integrated Circuits:A Design Perspective, Prentice Hall, 1996.
    [89]Bo Xiang and Xiaoyang Zeng, "A 4.84 mm2 847-955 Mb/s 397 mW Dual-Path Fully-Overlapped QC-LDPC Decoder for the WiMAX System in 0.13 μm CMOS," IEEE Sympsium on VLSI Circuits, Jun.2010, to be published.
    [90]Bo Xiang and Xiaoyang Zeng, "An 847-955 Mb/s 397 mW Dual-Path Fully-Overlapped QC-LDPC Decoder for WiMAX System in 0.13 μm CMOS," IEEE Journal of Solid-State Circuits, major revision.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700