双修正归一化最小和LDPC译码算法及其部分并行结构研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
在通信系统中,信道中的噪声会使传输的数据产生错误。信道编码技术可以发现和纠正这些错误,使通信系统具有一定的抗干扰和纠错的能力。低密度奇偶校验码(Low Density Parity Check Codes, LDPC codes)在AWGN信道下的性能接近香农极限,是近年来信道编码领域研究的热点。目前虽然LDPC码的理论研究发展迅速,但是在实际应用中,在改进适于硬件实现的译码算法的性能、在译码器的复杂度与吞吐率之间寻求更好的折衷等方面仍然存在许多待解决的问题。
     本文首先论述了国内外关于LDPC码的研究现状,接下来对LDPC码的译码算法、译码器电路设计中的运算电路、存储方案等关键问题进行了一系列的研究,最后设计并实现了一个WiMAX系统中码长为2304比特的LDPC码译码器。本文的主要贡献如下:
     1.最小和算法中的校验节点运算是对和积算法的简化近似,因此每次运算都会存在误差,导致译码性能变差。归一化最小和算法从均值的角度对最小和算法的误差进行修正,使最小和算法的BER(Bit Error Rate)性能得到了改进。本文对归一化最小和算法进行了改进,提出了双修正归一化最小和(DualNormalized Min-Sum, DN-MS)算法,该算法在校验节点运算中采用两个归一化修正因子分别对最小值和次小值进行修正。改进的算法在不增加运算复杂度的条件下,获得比归一化最小和算法更好的BER性能。对基于仿真的定点化方法进行了改进,减少了仿真的工作量;
     2.最小和及其改进算法中核心的运算为校验节点的最小—次小运算,并行的最小—次小运算单元可以在一个时钟周期内计算出一组数据中的最小值与次小值,但一般电路面积比较大。本文设计了两种最小—次小运算方法:第一种方法对极少比较排序法(Minimum-Comparison Sorting, XS)进行改进,称为MXS(Modified Minimum-Comparison Sorting)方法,该方法简化了次小值运算,运算电路具有较小的面积,但是电路延迟比较大;第二种方法为一种新的树形运算(New Tree Structure, NTS)方法,与MXS方法相比,该方法的电路面积有少量增加,但却可以大幅减小运算的延迟,NTS方法可以在运算速度与电路面积之间实现比较好的折衷;
     3.采用并行运算单元的译码器可以具有比串行运算单元更高的吞吐率,采用分层迭代的译码器迭代收敛速度较快并且占用的存储资源较少。本文将并行运算单元和分层迭代方法相结合,设计了一种新的部分并行译码器结构,与其它部分并行译码器结构相比,该译码器可以在吞吐率和面积之间实现比较好的折衷,并且可以在更大的范围内自由设计译码器的吞吐率。在译码器中重点设计了数据的存储方案和并行DN-MS运算单元。在数据的存储方案设计中主要对数据的存储顺序、读写控制电路进行了设计和优化,使其即具有较大的带宽,又有效的降低了读写控制结构和互联结构的复杂度,同时避免了在读写过程中的冲突。在该译码器结构的基础上,针对校验矩阵具有准下三角阵形式的QC-LDPC码进行了预译码设计,使译码器可以在接收码字的过程中完成第一次迭代中一部分层的译码运算,从而进一步提高了译码器的吞吐率;
     4.基于以上对译码算法、并行最小—次小运算电路和译码器结构设计的研究,设计并实现了一个WiMAX系统中码长为2304比特的部分并行QC-LDPC码译码器。在进行了FPGA原型机验证后对该译码器进行了逻辑综合。实验结果表明,该译码器在硬件复杂度和译码吞吐率之间实现了比较好的折衷。
In communication systems, the noise of the channel introduces errors to the trans-mitted data, and channel coding can detect and correct errors in the transmitted data, sochannel coding can increase the reliability of the communication system. Low densi-ty parity check codes (LDPC codes) have been shown to approach Shannon’s limit inAWGN channel, and it is one of the most attractive field in channel coding. Though thetechniques of LDPC codes develop fast, many problems are still need to be solved suchas improving the decoding performance of fixed-point algorithms and make good tradeofamong decoder’s complexity and throughput.
     In this dissertation, we firstly give a review of research on LDPC. Based on that, wediscussed the topics around the decoding algorithm of LDPC code, parallel algorithms offinding the two smallest values and their hardware implementation, data packing schemein partial parallel decoders. Finally, a LDPC decoder is proposed which supports all themodes of2304bit LDPC codes in WiMAX. The main contributions of this dissertationare listed as following:
     1. The check node processing in Min-Sum algorithm is an approximation to thatin Sum Product algorithm, so Min-Sum algorithm has a performance loss. NormalizedMin-Sum algorithm can force the mean of check node results in Min-Sum algorithm e-qual to the mean of check node results in BP algorithm, so it has better BER performancethan Min-Sum algorithm. In this paper, we proposed a dual normalized min-sum algo-rithm which uses two normalized factors to further improve the BER performance ofthe Min-Sum algorithm, and the proposed algorithm has the same decoding complexi-ty as normalized Min-Sum algorithm. Simulation based LDPC wordlength optimizationmethod is improved, and the workload of simulation is saved.
     2. Algorithms of finding the two smallest values in a group are very important inMin-Sum and Min-Sum based algorithms. Parallel algorithms can find the two smallestvalues in one cycle, but they consume more chip size. Two parallel algorithms are pro-posed, one is the modified minimum-comparison sorting (MXS) approach, and the otheris new tree structure (NTS) approach. MXS approach simplifies the process of finding thesecond minimum value in minimum-comparison sorting approach, and it has the samecomputing latency as minimum-comparison sorting approach but has less hardware cost. NTS approach has a little increase in the complexity than MXS approach, but has muchless latency, so it makes good tradeof among the complexity and the speed.
     3. LDPC decoder using parallel processing unit can achieve high throughput. Lay-ered decoding approach saves the size of the memory bank and has higher decoding con-vergence speed. A new partial parallel LDPC decoder structure is proposed using parallelprocessing unit and layered approach. Compared to others, the proposed structure sup-ports larger range of throughput, and makes good tradeof between the complexity andthe throughput. A parallel DN-MS computing unit is also designed based on NTS ap-proach. In the proposed decoder, a new packing scheme for posterior probability data ofvariable node is proposed: the memory bank has several RAMs, and every RAM has onereading alignment unit and one connecting network. The proposed packing scheme haslarge bandwidth without accessing conflict, and its control unit and connecting networkhas low complexity. A pre-decoding decoder is proposed for the QC-LDPC codes whosebase matrix is an approximate lower triangular matrix. The proposed decoder could startthe decoding process before it gets the whole code word’s channel messages, and itachieves a high throughput.
     4. At last, a multi rate partial parallel QC-LDPC decoder is designed for all coderates of2304bit LDPC codes in WiMAX. At last, FPGA implementation results andlogic synthesis results are provided for the proposed decoder, and the results show thatthe decoder achieves a good tradeof between the complexity and the throughput.
引文
[1] G.Proakis J. Digital Communication[M].4th. McGraw-Hill: McGraw-Hill Sci-ence/Engineering/Math,2003.
    [2] McEliece R J. The Theory of Information and coding[M].1st. MA: Addison-Wesley,Reading,1977.
    [3] Berrou C, Glavieux A, Thitimajshima P. Near Shannon Limit Error-CorrectingCoding and Decoding: Turbo-Codes[C]//IEEE International Conference on Com-munications. Geneva: IEEE,1993:1064–1070.
    [4] Raphaeli D, Zarai Y. Combined Turbo Equalization and Turbo Decoding[J]. IEEECommunications Letters,1998,2(4):22–26.
    [5] Hamming R W. Error Detecting and Error Correcting Codes[J]. Bell System Tech-nical Journal,1950,29(2):147–160.
    [6] Gallager R G. Low Density Parity Check Codes[J]. IRE Transactions on Informa-tion Theory,1962,8(1):21–28.
    [7] Wiberg N, Loeliger H A, Kotter R. Codes and Iterative Decoding on GeneralGraphs[J]. Euro. Transactions on Telecomm.,1995,6(5):513–525.
    [8] Wiberg N. Codes and Decoding on General Graphs[D]. Sweden: Ph. D. Disserta-tion at Linkoping University,1996.
    [9] MacKay D J C, Neal R M. Cood Codes Based on Very Sparse Matri-ces[C]//IMA International Conference on Cryptography and Coding. Berlin:Springer,1995:100–111.
    [10] MacKay D J C. Near Shannon Limit Performance of Low-density Parity-checkCodes[J]. IEEE Electronics Letters,1997,33(6):457–458.
    [11] Mackay D. Good Error-Correcting Codes Based on Very Sparse Matrices[J]. IEEETransactions on Information Theory,1999,45(2):399–431.
    [12] Shannon C E. A Mathematical Theory of Communication[J]. The Bell SystemTechnical Journal,1948,27(7):379–423,623–656.
    [13] Davey M C, Mackay D J. Low Density Parity Check Codes over GF(q)[J]. IEEECommunications Letters,1998,2(6):22–26.
    [14] Campello J, Modha D S, Rajagopalan S. Designing LDPC Codes Using Bit-filling[C]//IEEE International Conference on Communications. Helsinki: IEEE,2001:55–59.
    [15] Campello J, Modha. D S. Extended Bit-filling and LDPC Codes Design[C]//IEEEGlobal Communications Conference. San Antonio, TX: IEEE,2001:985–989.
    [16] Hu X Y, Eleftherious E, Arnold D M. Progressive Edge-growth TannerGraphs[C]//IEEE Global Communications Conference. San Antonio, TX: IEEE,2001:995–1001.
    [17] Hu X Y, Eleftherious E, Arnold D M. Regular and Irregular ProgressiveEdge-Growth Tanner Graphs[J]. IEEE Transactions on Communications,2005,51(1):386–398.
    [18] Tanner R M. A Recursive Approach to Low Complexity Codes[J]. IEEE Transac-tions on Information Theory,1981,27(5):533–547.
    [19] Zhang T, Wang Z, Parhi K. On Finite Precision Implementation of Low DensityParity Check Codes Decoder[C]//IEEE International Symposium on Circuits andSystems. Sydney: IEEE,2001:202–205.
    [20] Zhang T, Parhi K K. An FPGA Implementation of (3,6)-Regular Low-DensityParity-Check Code Decoder[J]. EURASIP Journal on Applied Signal Processign,2003,6(3):530–542.
    [21] Zhong H, Zhang T. Design of VLSI Implementation-oriented LDPCcodes[C]//IEEE Conference on Semiann. Vehicular Technology. Troy, NY: IEEE,2003:670–673.
    [22] Zhang T, Parhi K K. Joint (3,k) Regular LDPC code and Decoder/Encoder De-sign[J]. IEEE Transactions on Signal Process.,2004,52(4):1065–1079.
    [23] Zhong H, Zhang T. Block-LDPC: A Practical LDPC Coding System Design Ap-proach[J]. IEEE Transactions on Circuits and Systems,2005,52(4):766–775.
    [24] Lin S, Chen L, Xu J. Near Shannon Limit Quasi-Cyclic Low-Density Parity-CheckCodes[J].2004,52(7):1038–1042.
    [25] Yang L, Liu H, Shi C J R. Cycle Elimination Method to Construct VLSI Ori-ented LDPC Codes[C]//IEEE International Conference on Vehicular Technology.Washington: IEEE,2005:522–526.
    [26] Yang L, Liu H, Shi C J R. VLSI Implementation of A Low-Error-Floor andCapacity-Approaching Low-Density Parity-Check Code Decoder with Multi-RateCapacity[C]//IEEE Global Telecommunications Conference. Washington: IEEE,2005:1261–1266.
    [27] Yang L, Liu H, Shi C J R. Code Construction and FPGA Implementation ofMulti-Rate LDPC Decoders[J]. IEEE Transactions on Circuits and Systems,2006,53(4):892–904.
    [28] Luby M G, Mitzenmacher M, Shokrollahi M A. Improved Low-Density Parity-Check Codes Using Irregular Graphs[J]. IEEE Transactions on Information Theo-ry,2001,47(2):585–598.
    [29] Richardson T J, Urbanke R L. The Capacity of Low-Density Parity-Check Codesunder Message-Passing Decoding[J]. IEEE Transactions on Information Theory,2001,47(2):599–618.
    [30] Richardson T J, Shokrollahi M A, Urbanke R L. Design of Capacity-ApproachingIrregular Low-Density Parity-Check Codes[J]. IEEE Transactions on InformationTheory,2001,47(2):619–637.
    [31] Gallager R. Low-Density Parity-Check Codes[D]. Cambridge: Ph. D. Dissertationat Massachusetts Institute of Technology,1963.
    [32] Chung S Y. On the Construction of Some Capacity-approaching CodingSchemes[D]. Cambridge: Ph. D. Dissertation at Massachusetts Institute of Tech-nology,2000.
    [33] Chung S Y, Richardson T J, Urbanke R L. Analysis of Sum-Product Decodingof Low-Density Parity-Check Codes Using A Gaussian Approximation[J]. IEEETransactions on Information Theory,2001,47(2):657–670.
    [34] Chung S Y, Founey G D, Richardson T J. On the Design of Low Density ParityCheck Codes within0.045dB of the Shannon Limit[J]. IEEE CommunicationsLetters,2001,5(2):58–60.
    [35] Ashikhmin A, Kramer G, ten Brink S. Extrinsic Information Transfer Functions:Model and Erasure Channel Property[J]. IEEE Transactions on Information Theo-ry,2004,50(11):2657–2673.
    [36] Mamani H, Tehran, Saeedi H. Generalized EXIT Chars for Irregular LDPCCodes[C]//IEEE International Symposium on Artificial Intelligence and SignalProcessing. Tehran: IEEE,2011:113–117.
    [37] Fossorier M, Mihaljevic M, Imai. H. Reduced Complexity Iterative Decoding ofLow Density Parity Check Codes Based on Belief Propagation[J]. IEEE Transac-tions on Communications,,1999,47(5):673–680.
    [38] Kou Y, Lin S, Fossorier M P C. Low-Density Parity-Check Codes Based on FiniteGeometries: A Rediscovery and New Results[J]. IEEE Transactions on Informa-tion Theory,2001,47(7):2711–2736.
    [39] Chen J, Fossorier M. Near Optimum Universal Belief Propagation Based Decodingof Low-Density Parity Check Code[J]. IEEE Transactions on Communications,2002,50(3):406–414.
    [40] Chen J, Fossorier M P C. Decoding Low-Density Parity-Check Codes with Nor-malized APP-Based Algorithm[C]//IEEE International Conference on Global T-elecommunications. San Antonio: IEEE,2001:1026–1030.
    [41] Eleftheriou E, Mittelholzer T, Dholakia A. Reduced-Complexity Decoding Algo-rithm for Low-Density Parity-Check Codes[J]. IEEE Electronics Letters,2001,37(2):102–104.
    [42] Mansour M, Shanbhag N. Memory-efcient Turbo Decoder Architectures forLdpc Codes[C]//IEEE Workshop on Signal Processing Systems. Princeton, NJ:IEEE,2002:159–164.
    [43] Mansour M M, Shanbhag N R. High-Throughput LDPC Decoders[J]. IEEE Trans-actons on Very Large Scale Integration Systems,2003,11(6):976–996.
    [44] Mobini N. A Diferential Binary Message-Passing LDPC Decoder[J]. IEEE Trans-actions on Communications,2009,57(9):2518–2523.
    [45] Liva G, Paolini E, Matuz B. A Decoding Algorithm for LDPC Codes Over ErasureChannels with Sporadic Errors[C]//IEEE International Conference on Communi-cation, Control and Computing. Allerton: IEEE,2010:458–465.
    [46] Alami R E, Lessi, Mehraz D. Bit Flipping–Sum Product Algorithm for RegularLDPC Codes[C]//IEEE International Conference on Communications and MobileNetwork. Rabat: IEEE,2010:1–4.
    [47] Yang H, William E. Low-Floor Detection/Decoding of LDPC-Coded Partial Re-sponse Channels[J]. IEEE Journal on Selected Areas in Communications,2004,50(11):2657–2673.
    [48] Wu X, Song Y, Jiang M, et al. Adaptive-Normalized/Ofset Min-Sum Algorithm[J].IEEE Communications Letters,2010,14(7):667–669.
    [49] Wu X, Song Y, Jiang M, et al. Adaptive-Normalized Min-Sum Algorith-m[C]//IEEE International Conference on Future Computer and Communication.Wuhan: IEEE,2010:661–663.
    [50] Daud M, Suksmono A B, Hendrawan. Comparison of Decoding Algorithms forLDPC Codes of IEEE802.16e Standard[C]//IEEE International Conference on T-elecommunication Systems, Services and Applications. Bali: IEEE,2011:280–283.
    [51] Boutillon E, Casture J, Kschischang F R. Decoder first Code De-sign[C]//International Symposium On Turbo Codes and Related Topics. Brest,France: IEEE,2000:pp.459–462.
    [52] Wey C L, Shieh M D, Lin S Y. Algorithms of Finding the First Two MinimumValues and Their Hardware Implementation[J]. IEEE Transactions on Circuits andSystems,2008,55(11):3430–3437.
    [53] Liu C H, Lin C C, Yen S W, et al. Design of a Multimode QC-LDPC DecoderBased on Shift-Routing Network[J]. IEEE Tansactions on Circuits and Systems,2009,56(9):734–738.
    [54] Liu C H, Yen S W, Chen C L, et al. An LDPC Decoder Chip Based on Self-RoutingNetwork for IEEE802.16e Applications[J]. IEEE Journal of Solid-State Circuits,2008,43(3):684–694.
    [55] Daesun O, Parhi K K. Area Efcient Controller Design of Barrel Shifters for Re-configurable LDPC decoders[C]//IEEE International Symposium on Circuits andSystems. Seattle, WA: IEEE,2008:240–243.
    [56] Daesun O, Keshab K P. Low-Complexity Switch Network for Reconfigurable LD-PC Decoders[J]. IEEE Transactions on Very Large Scale Integration Systems,2010,18(1):85–94.
    [57] Sulek W. Idle Time Reduction in TDMP Implementation of LDPC De-coder[C]//IEEE International Conference on Digital Object Identifier. IrkutskListvyanka, Russia,: IEEE,2010:44–49.
    [58] Daesun O, Hanyu T, Gaudet V C. Design of High-Throughput Fully Parallel LDPCDecoders Based on Wire Partitioning[J]. IEEE Transactions on Very Large ScaleIntegration Systems,2010,18(3):482–489.
    [59] Srinivasan V K K, Singh C K, Balsara P T. A Generic Scalable Architecture forMin-Sum/Ofset-Min-Sum Unit for Irregular/Regular LDPC Decoder[J]. IEEETransactions on Very Large Scale Integration (VLSI) Systems,2010,18(9):1372–1376.
    [60] Chen X, Kang J, Lin S, et al. Memory System Optimization for FPGA-BasedImplementation of Quasi-Cyclic LDPC Codes Decoders[J]. IEEE Transactions onCircuits and Systems,2011,58(1):98–111.
    [61] A. C L, Huang, Lin K. Low-Power Design of Variable Block-Size LDPC Decoderusing Nanometer Technology[C]//IEEE International Symposium on Circuits andSystems. Habat: IEEE,2010:1759–1762.
    [62] Benes V E. Optimal rearrangeable multistage connecting networks[J]. Bell Syst.Tech. J.,1964,43(3):1641–1656.
    [63]方美彦,刘粉山,王继康.基于信道估计得LDPC仿真算法研究[J].计算机仿真,2007,24(3):90–94.
    [64] Rabiner L R. A Tutorial on Hidden Markov Models and Selectid Applicaitonsin Speech Recognition[C]//IEEE International Conference on FEB. Bo: IEEE,1989:257–285.
    [65] Zhang L, Gui L, Xu Y, et al. Configurable Multi-Rate Decoder Architecture forQC-LDPC Codes Based Broadband Broadcasting System[J]. IEEE Transactonson Broadcasting,2008,54(2):226–235.
    [66] Lin J, Wang Z, Li L, et al. Efcient Shufe Network Architecture and Applica-tion for WiMAX LDPC Decoders[J]. IEEE Transactions on Circuits and Systems,2009,56(3):215–219.
    [67] Xiang B, Shen R, Pan A, et al. An Area-Efcient and Low-Power Multirate De-coder for Quasi-Cyclic Low-Density Parity-Check Codes[J]. IEEE Transactionson Very Large Scale Integration Systems,2010,18(10):1447–1460.
    [68] Xiang B, Bao D, Huang S, et al. An847-955Mb/s397mW Dual-Path Fully-Overlapped QC-LDPC Decoder for WiMAX System in0.13um CMOS[J]. IEEEJournal of Solid-State Circuits,2011,46(6):1416–1432.
    [69] Bao D, Xiang B, Shen R, et al. Programmable Architecture for Flexi-ModeQC-LDPC Decoder Supporting Wireless LAN/MAN Applications and Beyond[J].IEEE Transactions on Circuits and Systems,2010,57(1):125–138.
    [70]向波. OFDM系统中高性能LDPC码解码器的研究与实现[D].上海:复旦大学博士学位论文,2010.
    [71] Chen Y, Parhi K K. Overlapped Message Passing for Quasi-Cyclic Low Den-sity Parity Check Codes[J]. IEEE Transactions on Circuits and Systems,2004.,51(6):1106–1113.
    [72]董同昕,陈为刚. LDPC码改进的量化自适应偏移最小-和算法[J].计算机工程与应用,2012,8(1):1–6.
    [73] Dong, Liu F, Song Y. Improved Syndrome-based Ordered Statistic Decoding Al-gorithm for LDPC Codes[C]//IEEE International Conference on Signal ProcessingSystems. Dalian: IEEE,2010:295–297.
    [74]王欣然. LDPC码的APP-Based译码算法研究与并行译码器硬件实现[D].哈尔滨:哈尔滨工业大学电子科学与技术学科硕士论文,2011.
    [75]马卓,杜栓义,王新梅.基于量化的LDPC译码算法的高效实现[J].电子与信息学报,2011,33(9):2273–2277.
    [76]袁瑞佳,白宝明.基于FPGA的部分并行QC-LDPC码高效存储方法[J].通信学报,2012,33(11):165–170.
    [77]张明瑞,张岩,金杰,杨舜琪.可配置LDPC译码器中低复杂度移位网络的设计[J].微电子学,2012,13(3):176–179.
    [78] Sridhara D, Fuja T, Tanner R M. Low Density Parity Check Codes from Permu-tation Matrices[C]//Conference on Information Science and Systems. Baltimore,Maryland: IEEE,2001:353–354.
    [79] Hocevar D E. LDPC Code Construction with Flexible Hardware Implementa-tion[C]//IEEE International Conference on Communications. New York: IEEE,2003:2708–2712.
    [80] Fossorier M P C. Quasi-Cyclic Low-Density Parity-Check Codes from Circu-lant Permutation Matrices[J]. IEEE Transactions on Information Theory,2004,50(8):1788–1793.
    [81] Li Z, Kumar B V K V. A Class of Good Quasi-Cyclic Low-Density Parity CheckCodes Based on Progressive Edge Growth Graph[C]//IEEE Conference on Signals,Systems and Computers. Pittsburgh, PA: IEEE,2011:1990–1994.
    [82] Diao Q, Huang Q, Lin S, et al. A Transform Approach for Analyzing and Con-structing Quasi-Cyclic LDPC codes[C]//IEEE International Workshop on Informa-tion Theory and Applications. La Jolla, CA: IEEE,2011:1–8.
    [83] Kou Y, Xu J, Tang H, et al. On Circulant Low Density Parity CheckCodes[C]//IEEE Internatinal Symposium on Information Theory. Lausanne,Switzerland: IEEE,2012:200.
    [84] Dai Y, Yan Z, Chen N. Optimal Overlapped Message Passing Decoding of Quasi-Cyclic LDPC Codes[J]. IEEE Transactions on Very Large Scale Integration,2008,16(5):565–578.
    [85] Park I C, Kang S H. Scheduling Algorithm For Partially Parallel Architectureof LDPC Decoder by Matrix Permutation[C]//IEEE International Symposium onCircuits and Systems. Daejeon, South Korea: IEEE,2011:5778–5781.
    [86] Zhan C Z, Shih X Y, Wu A Y. High-Performance Scheduling Algorithm for Partial-ly Parallel LDPC Decoder[C]//IEEE Conference on Acoustics, Speech and SignalProcessing. Las Vegas, NV: IEEE,2008:3177–3180.
    [87] Shih X, Zhan C, Lin C, et al. An8.29mm252mW Multi-Mode LDPC DecoderDesign for Mobile WiMAX System in0.13um CMOS Process[J]. IEEE Journalof Solid-State Circuits,2008,30(3):672–683.
    [88] Urard P, Yeo E, Paumier L, et al. A135M/s DVB-S2Compliant Codec Basedon64800b LDPC and BCH codes[C]//IEEE Conference on ISSC. Las Vegas, NV:IEEE,2005:446–449.
    [89] Mansour M M, Shanbhag N. Architecture-Aware Low-Density Parity-CheckCodes[C]//IEEE Conference on Wireless Communications and Networking. Balti-more: IEEE,2003:57–60.
    [90] Mansour M, Shanbhag N. Low-Power VLSI Decoder Architectures for LDPCCodes[C]//International Symposium on Low Power Electronics and Design. Mon-terey, CA: IEEE,2002:284–289.
    [91] Mansour M M. A Turbo-Decoding Message-Passing Algorithm for Sparse Parity-Check Codes[J]. IEEE Transactons on Signal Processing,2006,54(11):4376–4392.
    [92] Hocevar D. A Reduced Complexity Decoder Architecture via Layered Decodingof Ldpc Codes[C]//IEEE Workshop on Signal Processing Systems. Austin,USA:IEEE,2004:107–112.
    [93] Zhu Y, Chen Y, Hocevar D, et al. A Reduced-Complexity, Scalable Implementa-tion of Low Density Parity Check (LDPC) Decoder[C]//IEEE Workshop on SignalProcessing Systems Design and Implementation. Banf, Alta: IEEE,2006:83–88.
    [94] Mansour M M, Shanbhag N R. A640-Mb/s2048-bit Programmable LDPC De-coder Chip[J]. IEEE Journal of Solid-State Circuits,2006,41(3):634–698.
    [95] Gunnam K, Choi G, Yeary M, et al. VLSI Architectures for Layered Decoding forIrregular LDPC Codes of WiMAX[C]//IEEE International Conference on Commu-nications. Glasgow: IEEE,2009:4542–4547.
    [96] IEEE Std.802.16e. IEEE Standard for Air Interface for Fixed and Mobile Broad-band Wireless Access Systems, Physical and Medium Access Control Layer forCombined Fixed and Mobile Operation in Licensed Bands[J].2006.
    [97] Metropolis N. The Beginning of the Monte Carlo Method[J]. Los Alamos Science,1987,15(9):125–130.
    [98] Metropolis N, Ulam S. The Monte Carlo Method[J]. Journal of the AmericanStatistical Association,1949,44(9):335–341.
    [99] Richardson T J, Urbanke R. Efcient encoding of low-density parity-checkcodes[J]. IEEE Transactions on Information Theory,2001,47(2):638–656.
    [100] Chen J, Dholakia A, Eleftheriou E, et al. Reduced Complexity Decoding of LDPCCodes[J]. IEEE Transactions on Communications,2005,53(8):1288–1299.
    [101] Zhang Z, Anantharam V, Wainwright M, et al. A47Gb/s LDPC Decoder withImproved Low Error Rate Performance[C]//IEEE Symposium on VLSI Circuits.Kyoto, Japan: IEEE,2009:271–276.
    [102] Etzion T, Trachtenberg A, Vardy A. Which Codes Have Cycles-free Tanner Graph-s[J]. IEEE Transactions on Information Theory,1999,45(6):2173–2181.
    [103] Kschischang F R, Frey B J, Loeliger H A. Factor Graphs and the Sum-productAlgorithm[J]. IEEE Transactions on Information Theory,2001,47(2):498–519.
    [104] Kschischang F R, Frey B J. Iterative Decoding if Compound Codes by ProbabilityPropagation in Graphical Models[J]. IEEE Journals on Select. Areas Commun.,1998,16(2):219–230.
    [105] Mackay D. David Mackay’s Gallager Code Resources[J]. http://www.inference.phy.cam.ac.uk/mackay/codes/data.html.
    [106] European Std. DVB-S2. IEEE Standard for Digital Video Broadcasting (DVB);Second generation[J].2006.
    [107] B.Parhami. Computer Arithmetic Algorithms and Hardware Designs[M].2nd.Oxford U.K.: Oxford Univ,2009.
    [108] N. Constantinides G W. The The Complxity of Multiple Wordlength Assignmen-t[J]. Applied Mathematics Letters,2002,15(2):137–140.
    [109] Moore R E. Interval arithmetic and automatic error analysis in digital comput-ing[D]. Stanford: Ph. D. Dissertation at Stanford University,1962.
    [110] Kearfott R B. Interval Computations: Introduction, Uses, and Resources[J]. Euro-math Bulletin,1996,2(1):95–112.
    [111] Kramer W. A Prior Worst Ccase Error Bounds for Floating-point Computations[J].IEEE Transactions on Computers,1998,47(7):750–756.
    [112] Cmar R, Rijnders L, Schaumont P, et al. A methodology and design environmentfor DSP ASIC fixed point refinement[C]//Proc. Design, Automation and Test inEurope. Munich, Germany: IEEE,1999:271–276.
    [113] Stolfi J, de Figueiredo L H. Self-validated Numerical Methods and Application-s[M].4th. Rio de Janeiro,Brazil: IMPA,1997.
    [114] Zhang L, Zhang Y, Zhou W. Tradeof between Approximation Accuracy and Com-plexity for Range Analysis using Afne Arithmetic[J]. Journal of Signal ProcessingSystems,2011,61(3):279–291.
    [115]张林生.数字信号处理系统的定点化技术研究[D].哈尔滨:哈尔滨工业大学电子科学与技术学科博士学位论文,2010.
    [116] Sha J, Wang Z, Gao M, et al. Multi-Gb/s LDPC Code Design and Implementa-tion[J]. IEEE Transactions on Circuit and Systems,2009,17(2):262–268.
    [117] Masera C, Quaglio F, Vacca F. Implementation of a Flexible LDPC Decoder[J].IEEE Transactions on Circuits and Systems,2010,54(6):542–546.
    [118] Shimizu K, Ishikawa T, Togawa N, et al. Partially-Parallel LDPC Decoder Basedon High-Efciency Message-Passing Algorithm[C]//IEEE International Confer-ence on Computer Design. Washington, DC, USA: IEEE,2005:503–510.
    [119] Cao Z, Kang J, Fan P. An FPGA Implementation of A Structured Irregular LDPCDecoder[C]//IEEE International Symposium on Microwave, Antenna, Propagationand EMC Technologies for Wireless Communications Proceedings. Beijing: IEEE,2005:1050–1053.
    [120] Kuo T C, Alan N, Willson J. A Flexible Decoder IC for WiMAX QC-LDPCCodes[C]//IEEE International Conference on Custom Intergrated Circuits. SanJose: IEEE,2008:527–530.
    [121] Rovini M, Gentile G, Rossi F, et al. A Minimum-Latency Block-Serial Architectureof a Decoder for IEEE802.11n LDPC Codes[C]//IFIP International Conference onVery Large Scale Integration. Atlanta: IEEE,2007:236–241.
    [122] D. E. Knuth. The Art of Computer Programming[M]. New York: Addison-Wesley:Addison-Wesley Publishing Company,1998.
    [123] Howland C, Blanksby A. Parallel Decoding Architectures for Low Density ParityCheck Codes[C]//IEEE International Symposium on Circuits and Systems. Syd-ney: IEEE,2001:742–745.
    [124] Wang Z, Chen Y, Parhi K. Area-efcient Quasi-cyclic LDPC Code Decoder Archi-tecture[C]//IEEE International Conference on ICASSP. Montreal, Quebec, Canada:IEEE,2004:49–52.
    [125] Wang Q, Shimizu K, Ikenaga, et al. A Power-Saved1Gbps Irregular LDPCDecoder based on Simplified Min-Sum Algorithm[C]//IEEE International Sym-posium on VLSI Design, Automation and Test. Hsinchu: IEEE,2007:1–4.
    [126] Gomes M, Falcao G, Silva V, et al. Flexible parallel architecture for DVB-S2LD-PC decoders[C]//IEEE International Conference on Global Telecommunications.Coimbra: IEEE,2007:3265–3269.
    [127] Zhang T, Parhi K. A54Mbps (3,6)-Regular FPGA LDPC Decoder[C]//IEEEWorkshop on Signal Processing Systems. San Diego, USA: IEEE,2002:127–132.
    [128] Kienle F, Brack T, Wehn N. A Synthesizable IP Core for DVB-S2LDPC CodeDecoding[C]//European Conference on Design, Autom. Test. Munich, Germany:IEEE,2005:100–105.
    [129] Wang Z, Cui Z. Low-Complexity High-Speed Decoder Design for Quasi-CyclicLDPC Codes[J]. IEEE Transactions on Very Large Scale Integration Systems,2007,15(1):104–114.
    [130]张明,周宏伟.基于模拟存储器的FPGA原型验证系统[J].计算机工程与科学,2007,29(6):87–89.
    [131]何宏斌.基于FPGA的高斯噪声实时产生方法[J].船舶电子工程,2009,28(6):124–125.
    [132] Marsaglia G, Zaman A. A New Class of Random Numbers Generators[C]//The16th Symposium on the Interface. Atlanta: Ann.,1991:462–480.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700