适用于超深亚微米集成电路制造与验证流程的光学邻近修正方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
过去几十年来,微电子技术的高速发展以及各类应用对电路性能需求的日益增长使得现代集成电路系统的规模和复杂程度日趋提高,这必将对集成电路制造技术提出更高的要求。就当今主流的集成电路制造技术而言,光刻分辨率增强技术(ResolutionEnhancement Techniques,RETs)使得制造比光刻所用光源波长小两到三倍的特征尺寸成为可能,现在193nm波长的光源被用来生产65nm工艺的集成电路。光刻技术的极限已经远远超出了人们的想像。在所有的光刻分辨率增强技术中,光学邻近修正(OpticalProximity Correction,OPC)是最为成熟以及应用最为广泛的一种。它通过修改掩模图形的形状来达到补偿失真的目的。快速而高精度的修正算法、良好的版图设计风格以及精确的光刻模型是成功实施光学邻近修正的关键。本文将会介绍在这三个方面所做的工作。
     基于模型的光学邻近修正算法(Model-based OPC,MBOPC)是一个迭代过程。迭代的收敛性同时取决于迭代的初值以及迭代方向的选择。为了提高算法的收敛速度以及收敛范围,本文提出了一种新的矩阵式光学邻近修正算法。新算法通过考虑边之间的互相影响使得所选择的迭代方向更为有效。本文也介绍了一些加快Jacobian矩阵计算以及线性方程组求解的方法,以进一步提高新算法的计算速度。
     本文提出了一种有较快的修正速度且有相对精确的修正精度的边偏移建模方法。使用此方法可以为传统的光学邻近修正算法提供较为精确的迭代初值。虽然新加入的初值计算步骤需要一定的运算时间,但是精确的迭代初值减少了收敛所需的迭代次数,从而可以有效地减少总的运行时间。
     成功的光学邻近修正也依赖于版图设计风格。一些图形组合难以通过修正而得到足够的制造裕量,这些图形通常被称为OPC不友好的(OPC Unfriendly)。为了减少设计的回转时间,设计者必须在将版图送至代工厂之前尽可能多地找到OPC不友好的区域并加以修正。这一流程通常被称之为光刻友好的版图设计(Litho-friendlyDesign,LfD)。本文介绍了两种基于边偏移建模方法构建的OPC算法。修正速度快且易于使用的特点使得这两种算法适于在光刻友好的版图设计流程中使用。
     基于部分相干理论的光刻成像系统建模方法早在几十年前就被提出,并且在工业界得到了广泛的使用。然而当所制造的特征尺寸越来越小,光刻系统使用了更为高级的配置。这使得我们必须考虑更多的物理效应来保持模型的精度,比如必须使用矢量成像模型来描述有高数值孔径投射透镜的系统。制造工程师必须通过修改模型的结果来建立新的模型,这通常是困难而且是耗时的。本文介绍了一种新的光刻建模环境。除了实现了传统的建模流程之外,新工具还提供一组易于定制以及扩展的模块。使用这些模块,用户可以通过编写简明的Tcl脚本来实现的新的光刻模型。这能够大幅提高建模的效率。
In the last several decades, the fast developing of microelectronic technology and growing requirements from the various kind of applications make the modern integrated circuit (IC) more complicated in scale and functionality. These will inevitably lead to the higher demands for manufacturing techniques of IC systems. As far as the state of the art of IC manufacturing systems, the technique of so called Resolution Enhancement Techniques (RETs) make it possible to product features 2 or even 3 times smaller than the wavelength of illumination system. The limits of optics have been pushed well beyond what was expected just a few years ago. As for now, illumination of 193nm wavelength is used in 45nm IC production. Among all kinds of RETs, Optical Proximity Correction (OPC) is the most sophisticated and widely used technique. It achieves the aim of canceling out distortion and improving the print-ability by modifying mask patterns. Sophisticated correction algorithm, good layout design style and accurate model of lithography system are three keys of successful OPC. In this thesis we will introduce our efforts on all these three aspects.
     Model-based OPC (MBOPC) is an iterative process. Its convergence depends on both the initial values and the selected direction of iteration. In this thesis, a new kind of MBOPC algorithm is introduced to improve the convergent speed and convergent range, which is based on generalized intensity distribution functions and takes the interactions between edges into account. To make the new algorithm faster, a few methods to accelerate the Jacobian matrix calculation and linear system solving process is also introduced.
     An edge bias modeling method is developed in this thesis, which has very fast correction speed and moderate correction accuracy. Better initial values for the iterative process of conventional model-based OPC algorithm can be provided by this method, with a little run-time penalty. The number of iterations is reduced because of the better initial values. Thus the total run-time is reduced efficiently.
     Successful optical proximity correction also relies on layout design style. Some pattern configurations are harder to be corrected to get enough process margin, which are usually called "OPC-unfriendly" for a particular lithographic process. To save design spin time, it is necessary to find out such patterns and fix them as many as possible by the designer before layout is sent to manufacturer. This kind of OPC-aware layout design is usually called as Litho-friendly Design (LfD). In this thesis, two OPC methods based on edge bias modeling method are introduced, which are good candidates for trial-OPC in LfD.
     Lithography system modeling based on partial coherence imaging theory was proposed several decades ago, and widely used in the industry. However with minimum feature sizing down, more advanced configurations are used in lithography systems. When it happens, more physical phenomena in the model should be considered to keep modeling accuracy. For example vector model should be used instead of scalar model to describe systems with extremely high NA. This reality brings RET engineers a heavy task that they should build new models for new lithographic configurations, not only by changing the model parameters but also changing the model structure itself. Usually this step is not easy and time consuming. In this thesis, a new lithography modeling environment is introduced, besides implementing the conventional modeling flow, it also provides a set of handy tools to easily customize and build models for lithography system with advanced configurations. Users can build up the model by writing a concise Tcl/Tk script using tools provided by this environment, which can greatly improve the model building efficiency.
引文
[1]Alan Allan.Overall roadmap technology characteristics.Presentations from the 2007 ITRS Public Conference on 5 December in Makuhari,Japan,2007.
    [2]Alfred K.Wong.Resolution Enhancement Thechniques in Optical Lithography.SPIE Press,2001.
    [3]E.Born,M.Wolf.光学原理(第七版).电子工业出版社,2006.
    [4]Mark LaPedus.Intel drops 157-nm tools from lithography roadmap.http://www.eetimes.com/news/semi/showArticle.jhtml;jsessionid=M11HXNSQYU40EQ SNDLPCKH0CJUNN2JVN?articleID=10801799,2003.
    [5]Mark LaPedus.Ibm sees immersion at 22nm,pushes out euv.http://www.eetimes.com/news/latest/showArticle.jhtml?articleID=197008463,2007.
    [6]Takaharu Miura,Katsuhiko Murakami,Kazuaki Suzuki,Yoshiaki Kohama,Kenji Morita,Kazunari Hada,and Yukiharu Ohkubo.Nikon euvl development progress update.volume 6517,page 651707.SPIE,2007.
    [7]Guojing Zhang,Pei-Yang Yan,Ted Liang,Seh jin Park,Peter Sanchez,Emily Y.Shu,Erdem A.Ultanir,Sven Henrichs,Alan Stivers,Gilroy Vandentop,Barry Lieberman,and Ping Qu.Progress on euv mask fabrication for 32-nm technology node and beyond,volume 6607,page 66070R.SPIE,2007.
    [8]Masaki Yamabe.Status and issues of electron projection lithography,volume 4,page 011005.SPIE,2005.
    [9]Hideki Ina,Kazuyuki Kasumi,Eigo Kawakami,and Kouji Uda.Critical issues study of nano-imprint tool for semiconductor volume production,volume 6517,page 65170M.SPIE,2007.
    [10]Mark LaPedus.Survey implies that next-generation lithography tools will get pushed out to 2007.http://www.eetimes.com/news/semi/showArticle.jhtml;jsessionid =HY4SBUQGMCSHAQSNDLPCKH0CJUNN2JVN?articleID=10809392,2001.
    [11]Mark LaPedus.Nano-imprint litho takes on euv in ngl race.http://www.eetimes.com/news/semi/showArticle.jhtml;jsessionid=0YA1VGTPI1GXIQSN DLPCKH0CJUNN2JVN?articleID=10806563,2002.
    [12]Christoph Dolainsky,Wilhelm Maurer,and Thomas Waas.Evaluation of resist models for fast optical proximity correction,volume 3236,pages 202-207.SPIE,1997.
    [13]Yuri Granik.Dry etch proximity modeling in mask fabrication,volume 5130,pages 86-91.SPIE,2003.
    [14]Nicolas B.Cobb and Wilhelm Maurer.Flows for model-based layout correction of mask proximity effects,volume 5256,pages 956-964.SPIE,2003.
    [15]Franklin M.Schellenberg.Resolution enhancement technology:the past,the present,and extensions for the future,volume 5377,pages 1-20.SPIE,2004.
    [16]Lars W.Liebmann.Resolution enhancement techniques in optical lithography:It's not just a mask problem,volume 4409,pages 23-32.SPIE,2001.
    [17]Nicolas B.Cobb and Avideh Zakhor.Fast sparse aerial-image calculation for opc.volume 2621,pages 534-545.SPIE,1995.
    [18]Franklin M.Schellenberg,Hua Zhang,and Jim Morrow.Evaluation of opc efficacy.volume 2726,pages 680-688.SPIE,1996.
    [19]冯伯儒,张锦,侯德胜.相移掩模和光学邻近效应校正光刻技术.光电工程,28,2001.
    [20]Lars W.Liebmann,Ioana C.Graur,William C.Leipold,James M.Oberschmidt,David S.O'Grady,and Denis Regaill.Alternating phase-shifted mask for logic gate levels,design,and mask manufacturing,volume 3679,pages 27-37.SPIE,1999.
    [21]Haruo Iwasaki.Fabricating 0.10-mu m line patterns using attenuated phase-shift masks,volume 4186,pages 336-345.SPIE,2001.
    [22]Z.Mark Ma and Andrew Andersson.Preventing sidelobe printing in applying attenuated phase-shift reticles,volume 3334,pages 543-552.SPIE,1998.
    [23]Seongo-Woon Choi,Sang-Gyun Woo,Jin-Min Kim,Jongmin Son,and Jeong G.Lee.New simple method of extending the limit of rim phase-shift mask.volume 2087,pages 372-379.SPIE,1994.
    [24]J.Fung Chen,John S.Petersen,Robert J.Socha,Thomas L.Laidig,Kurt E.Wampler,Kent H.Nakagawa,Greg P.Hughes,Susan S.MacDonald,and Waiman Ng.Binary halftone chromeless psm technology for lambda/4;optical lithography.volume 4346,pages 515-533.SPIE,2001.
    [25]Keiichiro Tounai,Hiroyoshi Tanabe,Hiroshi Nozue,and Kunihiko Kasama.Resolution improvement with annular illumination,volume 1674,pages 753-764.SPIE,1992.
    [26]Seok-Kyun Kim,Chang-Nam Ahn,Seo-Min Kim,Young-Mog Ham,and Ki-Ho Baik.Reduction of isolated-dense bias by optimization off-axis illumination for 150-nm lithography using krf.volume 3679,pages 691-696.SPIE,1999.
    [27]Franklin M.Schellenberg,Olivier Toublan,Nicolas B.Cobb,Emile Y.Sahouria,Greg P.Hughes,Susan S.MacDonald,and Craig A.West.Opc beyond 0.18 mu m:Opc on psm gates,volume 4000,pages 1062-1069.SPIE,2000.
    [28]Joerg Thiele,Christoph M.Friedrich,Christoph Dolainsky,Paul Karakatsanis,and Wilhelm Maurer.Integration of alternating phase-shift mask technology into optical proximity correction,volume 3679,pages 548-555.SPIE,1999.
    [29]Tom Winter.Electrical defect density modeling for different technology nodes,process complexity,and critical areas,volume 3215,pages 61-67.SPIE,1997.
    [30]Lars Liebmann,Dan Maynard,Kevin McCullen,Nakgeuon Seong,Ed Buturla,Mark Lavin,and Jason Hibbeler.Integrating dfm components into a cohesive design-to-silicon solution(invited paper),volume,5756,pages 1-12.SPIE,2005.
    [31]J.A.Torres and C.N.Berglund.Integrated circuit dfm framework for deep subwavelength processes,volume 5756,pages 39-50.SPIE,2005.
    [32]Philippe Hurat and Michel Cote.Dfm for manufacturers and designers,volume 5992,page 59920G.SPIE,2005.
    [33]Zhang Peiyong,Yan Xiaolang,Shi Zheng,Gao Gensheng,Ma Yue,and Chen Ye.Design for manufacturability of sub100 nanometer standard cells.Acta Electronica Sinica,33(2):304-307,2004.
    [34]Melody Ma,Melissa Anderson,Weinong Lai,Clive Wu,Becky Tsao,Chih wei Chu,Char Lin,Jacky Chou,and Sidney Tsai.A novel approach for hot-spot removal for sub-100nm manufacturing,volume 6349,page 63492P.SPIE,2006.
    [35]Venson Lee,Sheng-Hua Tsai,Jun Zhu,Lantian Wang,Shu-Mei Yang,and Dan White.Lrc techniques for improved error detection throughout the process window.volume 6730,page 67303E.SPIE,2007.
    [36]Jeffrey P.Mayhew,Michael L.Rieger,Jiangwei Li,Lin Zhang,Zongwu Tang,and James P.Shiely.Verifying ret mask layouts,volume 4692,pages 336-344.SPIE,2002.
    [37]Frank Edward.Gennari.Linking TCAD and EDA through pattern matching.PhD thesis,University of California,Berkeley,2004.
    [38] Maharaj Mukherjee, Zachary Baum, John Nickel, and Timothy G. Dunham. Optical rule checking for proximity-corrected mask shapes. volume 5040, pages 420-430.SPIE,2003.
    
    [39] Chin Teong Lim, Kai Peter, Vlad Temchenko, Dave Wallis, Dieter Kaiser, Ingo Meusel, Sebastian Schmidt, and Martin Niehoff. Investigation of dfm-lite orc approach during opc simulation. volume 6520, page 65204E. SPIE, 2007.
    
    [40] Kevin Lucas, Kyle Patterson, Robert Boone, Corinne Miramond, Amandine Bor-jon, Jerome Belledent, Olivier Toublan, Jorge Entradas, and Yorick Trouiller. Reticle enhancement verification for the 65nm and 45nm nodes. volume 6156, page 61560R. SPIE, 2006.
    
    [41] Yan Xiaolang, Chen Ye, Shi Zheng, and Chen Zhijin. Architecture of a post-opc silicon verification tool. volume 2, pages 1365-1368 Vol.2. ASICON, 2003.
    
    [42] Reinhard Marz, Kai Peter, and Wilhelm Maurer. Orc and lfd as first steps towards dfm. volume 6281, page 628101. SPIE, 2006.
    
    [43] Kai Peter, Reinhard Marz, Sonja Grondahl, and Wilhelm Maurer. Litho-friendly design (lfd) methodologies applied to library cells. volume 6349, page 63490E.SPIE, 2006.
    
    [44] John Randall, Alexander V. Tritchkov, Rik M. Jonckheere, Patrick Jaenen, and Kurt G. Ronse. Reduction of mask-induced cd errors by optical proximity correction.volume 3334, pages 124-130. SPIE, 1998.
    
    [45] Oberdan W. Otto and Richard C. Henderson. Advances in process matching for rules-based optical proximity correction. volume 2884, pages 425-434. SPIE, 1996.
    
    [46] Nicolas B. Cobb, Avideh Zakhor, and Eugene A. Miloslavsky. Mathematical and cad framework for proximity correction. volume 2726, pages 208-222. SPIE, 1996.
    
    [47] James Word V and Nicolas B. Cobb. Enhanced model-based opc for 65 nm and below. volume 5567, pages 1305-1314. SPIE, 2004.
    
    [48] Toshiya Kotani, Sachiko Kobayashi, Hirotaka Ichikawa, Satoshi Tanaka, Susumu Watanabe, and Soichi Inoue. Advanced hybrid optical proximity correction system with opc segment library and model-based correction module. volume 4691,pages 188-195. SPIE, 2002.
    
    [49] Meg Hung and Pratheep Balasingam. Hybrid optical proximity correction: concepts and results. volume 4889, pages 1173-1180. SPIE, 2002.
    [50] Azalia Krasnoperova, James A. Culp, Ioana Graur, Scott Mansfield, Mohamed Al-Imam, and Hesham Maaty. Process window opc for reduced process variability and enhanced yield, volume 6154, page 61543L. SPIE, 2006.
    
    [51] James Word and Kyohei Sakajiri. Opc to improve lithographic process window.volume 6156, page 615611. SPIE, 2006.
    
    [52] Michel Cote, Alexander Miloslavsky, Robert Lugg, Michael L. Rieger, and Philippe Hurat. Process centering opc using design intent to improve yield. volume 5756, pages 331-339. SPIE, 2005.
    
    [53] Mark Terry, Gary Zhang, George Lu, Simon Chang, Tom Aton, Robert Soper,Mark Mason, Shane Best, Bill Dostalik, Stefan Hunsche, Jiang Wei Li, Rongchun Zhou, Mu Feng, and Jim Burdorf. Process window and interlayer aware opc for the 32-nm node. volume 6520, page 65200S. SPIE, 2007.
    
    [54] Nick Cobb. Flexible sparse and dense opc algorithms. volume 5853, pages 693-702. SPIE, 2005.
    
    [55] Nicolas B. Cobb and Yuri Granik. Dense opc for 65nm and below. volume 5992,page 599259. SPIE, 2005.
    
    [56] Nicolas Cobb and Dragos Dudau. Dense opc and verification for 45nm. volume 6154, page 615401. SPIE, 2006.
    
    [57] Daniel S. Abrams and Linyong Pang. Fast inverse lithography technology. volume 6154, page 61541J. SPIE, 2006.
    
    [58] Benjamin Lin, Ming Feng Shieh, Jie wei Sun, Jonathan Ho, Yan Wang, Xin Wu,Wolfgang Leitermann, Orson Lin, Jason Lin, Yong Liu, and Linyong Pang. Inverse lithography technology at chip scale. volume 6154, page 615414. SPIE, 2006.
    
    [59] Amyn Poonawala and Peyman Milanfar. Opc and psm design using inverse lithography: a nonlinear optimization approach. volume 6154, page 61543H.SPIE, 2006.
    
    [60] Chi-Yuan Hung, Bin Zhang, Eric Guo, Linyong Pang, Yong Liu, Kechang Wang,and Grace Dai. Pushing the lithography limit: applying inverse lithography technology (ilt) at the 65nm generation. volume 6154, page 61541M. SPIE, 2006.
    
    [61] 吕乃光.傅立叶光学.2007.
    
    [62] http://www.eecs.berkeley.edu/IPRO/Software/Catalog/Description/splat.html.
    [63]Donis G.Flagello,Steven Hansen,Bernd Geh,and Michael Totzeck.Challenges with hyper-na(na≥1.0) polarized light lithography for sub lambda/4 resolution.volume 5754,pages 53-68.SPIE,2004.
    [64]Chanha Park,Jeonkyu Lee,Kiho Yang,Shih en Tseng,Young-Hong Min,Alek C.,Hyunjo Yang,Donggyu Yim,and Jinwoong Kim.High na polarized light lithography for 0.29k1 process,volume 6154,page 61540F.SPIE,2006.
    [65]Donis G.Flagello and Alan E.Rosenbluth.Vector diffraction analysis of phasemask imaging in photoresist films,volume 1927,pages 395-412.SPIE,1993.
    [66]Bruce W.Smith,Donis G.Flagello,Joseph R.Summa,and Lynn F.Fuller.Comparison of scalar and vector diffraction modeling for deep-uv lithography,volume 1927,pages 847-857.SPIE,1993.
    [67]Konstantinos Adam,Yuri Granik,Andres Torres,and Nicolas B.Cobb.Improved modeling performance with an adapted vectorial formulation of the hopkins imaging equation,volume 5040,pages 78-91.SPIE,2003.
    [68]John P.Stirniman and Michael L.Rieger.Spatial-filter models to describe ic lithographic behavior,volume 3051,pages 469-478.SPIE,1997.
    [69]Marco Antonio Zuniga.Fundamental mechanisms in advanced resist systems in optical lithography.PhD thesis,University of California,Berkeley,1999.
    [70]Yuri Granik.Peb model with cross-diffusion,volume 5039,pages 1098-1104.SPIE,2003.
    [71]David Fuard,M Besacier,and Patrick Schiavone.Assessment of different simplified resist models,volume 4691,pages 1266-1277.SPIE,2002.
    [72]Joseph G.Garofalo,John DeMarco,J.Bailey,Jiabei Xiao,and Sheila Vaidya.Reduction of asic gate-level line-end shortening by mask compensation,volume 2440,pages 171-183.SPIE,1995.
    [73]Yuri Granik and Nicolas B.Cobb.New process models for opc at sub-90-nm nodes.In Optical Microlithography ⅩⅥ,volume 5040,pages 1166-1175.SPIE,2003.
    [74]Yuri Granik.Correction for etch proximity:new models and applications,volume 4346,pages 98-112.SPIE,2001.
    [75]Shumay Shang,Yuri Granik,and Martin Niehoff.Etch proximity correction by integrated model-based retargeting and opc flow.volume 6730,page 67302G.SPIE,2007.
    [76]严晓浪,史峥,王国雄,陈晔.一种亚波长光刻条件下可变偏差蚀刻模拟方法,专利号:200510061180.5.
    [77]Chen Ye,Shi Zheng,Zhou Ke,Ma Yue,Shen Shanhu,and Yan Xiaolang.Litholab:A new environment for micro-lithography,modeling.Zhejiang University,China,2006.
    [78]www.swig.org/.
    [79]http://www.netlib.org/blas/.
    [80]http://www.netlib.org/lapack/.
    [81]http://math atlas.sourceforge.net/.
    [82]http://www.fftw.org.
    [83]lib.stat.cmu.edu/cmlib/doc/twodq/twodq.
    [84]http://tcl.tk/.
    [85]Brent B.Welch.Practical Programming in Tcl and Tk.Prentice Hall,Inc.,2002.
    [86]http://tcllib.sourceforge.net/doc/snit.html.
    [87]http://tkcon.sourceforge.net/.
    [88]http://www.anchorsemi.com/.
    [89]Klaus Herold,Norman Chen,and Ian P.Stobert.Managing high-accuracy and fast convergence in opc.volume 6349,page 634924.SPIE,2006.
    [90]Soo-Han Choi,A-Young Je,Ji-Suk Hong,Moon-Hyun Yoo,and Jeong-Taek Kong.Meef-based correction to achieve opc convergence of low-k1 lithography with strong oai.volume 6154,page 61540P.SPIE,2006.
    [91]Benjamin Painter,Lawrence L.Melvin Ⅲ,and Michael L.Rieger.Classical control theory applied to opc correction segment convergence,volume 5377,pages 1198-1206.SPIE,2004.
    [92]Nicolas B.Cobb and Yuri Granik.Model-based opc using the meef matrix,volume 4889,pages 1281-1292.SPIE,2002.
    [93]Ye Chen,Kechih Wu,Zheng Shi,and Xiaolang Yah.A feasible model-based opc algorithm using jacobian matrix of intensity distribution functions,volume 6520,page 65204C.SPIE,2007.
    [94] Nicolas B. Cobb, Avideh Zakhor, Mehran Reihani, Farvardin Jahansooz, and Vi-jaya N. Raghavan. Experimental results on optical proximity correction with variable-threshold resist model, volume 3051, pages 458-468. SPIE, 1997.
    
    [95] Yuri Granik, Nicolas B. Cobb, and Thuy Do. Universal process modeling with vtre for opc. volume 4691, pages 377-394. SPIE, 2002.
    
    [96] Ye Chen, Zheng Shi, and Xiaolang Yan. An automated and fast opc algorithm for opc-aware layout design. In Quality Electronic Design, 2007. ISQED '07. 8th International Symposium on, pages 782-787,2007.
    
    [97] Ma Yue, Shi Zheng, Chen Ye, and Yan Xiaolang. A content-driven model-based opc tool. In 2004 7th International Conference on Solid-State and Integrated Circuits Technology Proceedings, pages 1064-1067. ICSICT, 2004.
    
    [98] Puneet Gupta, Fook-Luen Heng, and Mark A. Lavin. Merits of cellwise model- based opc. volume 5379, pages 182-189. SPIE, 2004.
    
    [99] Xin Wang, Mark Pillof, Hongbo Tang, and Clive Wu. Exploiting hierarchical structure to enhance cell-based ret with localized opc reconfiguration. volume 5756,pages 361-367. SPIE, 2005.
    
    [100] Mark Laurance, Melissa Anderson, and Mark Pilloff. Enabling incremental ret to exploit hierarchical structure across multiple designs for sub-100 nm lithography.volume 5992, page 59922S. SPIE, 2005.
    
    [101] Yufu Zhang and Zheng Shi. A new method of implementing hierarchical opc.volume Vol. 1, page 788. International Symposium on Quality Electronic Design (ISQED), 2007.
    
    [102] Ye Chen and Zheng Shi. A specialized cell-wise opc method for opc-unfriendly spot detection. volume 6607, page 660700. SPIE, 2007.
    
    [103] Xiaolang Yan, Zheng Shi, Ye Chen, and Qijun Chen. Advances in opc technology and development of zopc tool. volume 6827, page 68271U. SPIE, 2007.
    
    [104] Yan Xiaolang, Chen Ye, Shi Zheng, and Ma Yue. A new method for model based frugal opc. In Asia and South Pacific Design Automation Conference, 2005. Proceedings of the ASP-DAC 2005., volume 1, pages 83-86 Vol. 1,2005.
    
    [105] David Z. Pan Joydeep Mitra, Peng Yu. Radar: Ret-aware detailed routing using fast lithography simulations. In Proc. Design Automation Conference, number 369 - 372,2005.
    [106]Chia-Fang Yan,Jin-Tai Lee.Opc-aware routing reconstruction for ope reduction.In 49th IEEE International Midwest Symposium on Circuits and Systems,volume 2,pages 366-369,2006.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700