辅助图形在工艺窗口OPC模型中的应用以及广义OPC的概念
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体工业的图形化工艺进入100纳米以下的范畴,分辨率增强技术(RET),如光学临近修正(OPC),已成为补偿物理设计者的设计图形与光刻工程师光刻出的图形间的失真的标准手段。普遍认为服务于制造的设计(DFM)是光刻线条修正及光学临近修正领域的关键词之一,它减少了设计和制造之间的复杂交互。DFM强有力地进入到硅芯片制造流程中的不同阶段,通常在生产周期中的不同时间点,以及工艺设备和测量仪器中。
     本论文中,提出了OPC RET方法可以整合到芯片制造流程中,这包括基于工艺窗口的两次曝光技术以及与基于窗口的OPC模型。以迎合不断缩小的特征尺寸带来的挑战。
     这篇论文还提出了广义的OPC概念,即光学和工艺临近效应修正。随着半导体工业向着更小的关键尺寸方向发展,需要使用更复杂的修正方法,以确保晶圆和掩模版制造的目标公差。提出了一种DFM探索,用以补偿工艺临近效应,它涵盖了厚光刻胶到测量仪器。
Since patterning technology in the sub 100nm regime was introduced into the semiconductor industry,Resolution Enhancement Technologies(RET) such as Optical Proximity Correction(OPC) has become a standard practice to compensate the pattern transfer distortion gap between the physical designer and the lithography engineer.On the other hand,Design for Manufacturing(DFM) is being widely accepted as one of the keywords in cutting edge lithography and OPC technologies,which alleviates complex interactions between design and manufacturing.DFM solutions impact the design-to-silicon flow at various stages,often during different time-points in the product life cycle, and often with both process equipments and metrology tools.
     In this thesis,the pre-OPC RET implementation methodology that can be integrated into the tape-out flow is proposed.It includes the hybrid sub-resolution assisting feature (SRAF) implementation methodology by using the process window aware OPC model,and the process window based double exposure methodology.As a complete mask synthesis flow,these RET methodologies including OPC alleviate the potential challenge brought the shrinking technologies.
     Another contribution of this thesis is the proposal of a general OPC concept that stands for Optical and Process Correction.As the drive of the semiconductor industry towards smaller features sizes requires more sophisticated correction method to guarantee the final tolerances in both the wafer manufacturing and mask making,A DFM approach is proposed to compensate the process proximity effect that arises from the thick film overlay metrology.
引文
[1]Ernst Abbe,“Beitrage zur Theorie des Mikroskops und der mikroskopischen Wahrnehmung,”[J]Archiv fur Mikroskopische Anatomie 9,1873:413-468.
    [2]Chris Mack,“Optimum stepper performance through image manipulation,”[J]Proc.KTI Microelectronics Seminar INTERFACE'89 1989:209-215.
    [3]Delmer L.Fehrs,Howard B.Lovering,Robert T.Scruton,“Illuminator modification of an optical alignerr [J]Proc.KTI Microelectronics Seminar INTERFACE'89,1989:217-230.
    [4]A.Rosenbluth,D.Goodman,and B.J.Lin,“A critical examination of submicron optical lithography using simulated projection images” [J]Vac.Sci.Technol.B 1,1983:1190-1195.
    [5]Satoru Asai,Isamu Hanyu and Kohki Hikosaka,“Improving projection lithography image illumination by using sources far from the optical axis,” [J].Vac.Sci.Technol.B 9,1991:2788-2791.
    [6]Satoru Asai,Isamu Hanyu and Kohki Hikosaka,“High performance optical lithography using a separated light source,” [J].Vac.Sci.Technol.B 10,1992:3023-3026.
    [7]Miyoko Noguchi,Masato Muraki,Yuuichi Iwasaki,Akiyoshi Suzuki,“Subhalf micron lithography system with phase-shifting effect”[J]in Optical/Laser Microlithography V,Proc.SPIE 1674,1992:92-104.
    [8]Naomasa Shiraishi,Shigeru Hirukawa,Yuichiro Takeuchi,Nobutaka Magome “New imaging technique for 64M-DRAM”[J]in Optical/Laser Microlithography V,Proc.SPIE 1674,1992:741-752.
    [9]Tohru Ogawa,Masaya Uematsu,Toshiyuki Ishimaru,Mitsunori Kimura,Toshiro Tsumori,“The effective light source optimization with the modified beam for the depth-of-focus enhancements” [J]in Optical/Laser Microlithography Ⅶ,Proc.SPIE 2197,1994:19-30.
    [10]QUASAR and dipole illumination are offered by ASM Lithography,Veldhoven,Netherlands.
    [11]Levenson,M.D.,“Wavefront Engineering for Photolithography”[J]Physics Today 46(7)1993:28.
    [12]A.K.Wong,“esolution Enhancement Techniques in Optical Lithography”[J],SPIE Press,Bellingham,WA,2001.
    [13]Kurt Ronse,Luc Van den hove,“Resolution Enhancement Techniques in Optical Lithography” [J]SEMICONDUCTOR FABTECH— 10th Edition,241.
    [14]Mack,Chris A.,“Corner rounding and line-end shortening in optical lithography.” [J]Proc.SPIE 4226,2000:92-93.
    [15]Robert Jones,Jeffrey Byers,“Theoretical Corner Rounding Analysis and Mask Writer Simulation”,[J]Proc.SPIE 5040,2003:1035-1043.
    [16]L.W.Liebmann,etal.,“ TCAD development for lithography resolution enhancement”,[J]IBM J.RES.& DEV.Vol.45 No.5 September 2001.
    [17]Synopsys application note:variable threshold model templates[P]
    [18]Mentor Graphics caliber WORKbench user's manual software version v9.1_l calibre 2002.1 [S]
    [19]Ji-Suk Hong etal.,“Accurate Gate CD Control through the Full-Chip Area Using the Dual Model in the Model-based OPC”,[J]SPIE Vol.5377,2004:571-580.
    [20]Soo-Han Choi etal.,“Hybrid PPC Methodology using Multi-Step Correction and Implementation for the Sub-lOOnm node” [J]SPIE Vol.5040,2003:1176-1183.
    [21]Edward M.Catich,The Origin of the Serif,Catfish Press,Davenport,Iowa 1968[P].
    [22]E.Bovey,Methods of Graticule Production at J.D.Moller,Wedel/Holstein,British Intelligence Objectives Sub-Committee (BIOS)Final Report No.1552,H.M.Stationary Office,London,1947.[R]
    [23]Techniques of Microphotography,Kodak Sales Service Publication P-52,Eastman Kodak,Rochester,NY,1963[C].
    [24]Tetsuo Ito,Masaya Tanuma,Yasuo Morooka,Kazuya Kadota,“Photoprojection image distortion correction for a 1-p.m pattern process ”,Denshi Tsushin Gakkai Ronbunshi,“Transactions of the Institute of Electronics,Information and Communication Engineers (IEICE)”,[J]Vol.J68-C,pp.325-332 (1985);Eng.Trans in:Electronics and Communications in Japan Part ⅠI:Electronics 69,1986:30-38.
    [25]A.Starikov,“Use of a single size square serif for variable print bias compensation in microlithography:method,design,and practice” [J]in Optical/Laser Microlithography Ⅱ,Proc SPIE 1088,1989:34-46.
    [26]H.P.Chang,“Proximity effect in electron beam lithography,” [J]Vac.Sci.Technol.12,1975:1271-1275.
    [27]Mihir Parikh,“Corrections to proximity effects in electron beam lithography,” [J].Appl.Phys.50,1979:4371-4387.
    [28]Peter Robertson,Proximity Effects in Projection Lithography:A Case Study of the Perkin-Elmer “Micralign.” [D]M.S.Thesis,U.C.Berkeley 1981.
    [29]D.Robertson,F.W.Wise,A.N.Nasr,A.R.Neureuther,CH.Ting,“Proximity effects and influences of nonuniform illumination in projection lithography” [J]in Optical Microlithography:Technology for the Mid-1980s,Proc.of SPIE Vol.334,1982:37-43.
    [30]A.Rosenbluth,D.Goodman,and B.J.Lin,“A critical examination of submicron optical lithography using simulated projection images” [J].Vac.Sci.Technol.B 1,1983:1190-1195.
    [31]Bahaa E.A.Saleh,“Image Synthesis:Discovery instead of recovery,” [J]Ch.12 of Image Recovery:Theory and Application,H.Stark,ed.,,Academic Press,Orlando,FL 1987:463-498.
    [32]Bahaa E.A.Saleh and Soheil I.Sayegh,“Reduction of errors of microphotographic reproductions by optimal correction of original masks,” [J]Opt.Eng.20,1981:781-784.
    [33]Karen M.Nashold,Bahaa E.A.Saleh,“Image construction through diffraction-limited high-contrast imaging systems:an iterative approach,” [J].Opt.Soc.Am.A 2,1985:635-643.
    [34]O.Otto,J.Garafalo,K.Low,C.Yuan,R.Henderson,C.Pierrat,R.Kostelak,S.Vaidya,and P.Vasudev,“Automated optical proximity correction-a rules-based Approach”,[J]In Proceedings of SPIE Symposium on Optical Microlithography Vol.2197,1994:278-293.
    [35]D.Newmark,“Optical Proximity Correction for Resolution Enhancement Technology”,[D]PhD thesis,University of California at Berkeley,1994.
    [36]J.Stirniman and M.Rieger,“Fast proximity correction with zone sampling”,[J]In Proceedings of SPIE Symposium on Optical Microlithography Vol.2197,1994:294-301.
    [37]J.Stirniman and M.Rieger,“Quantifying proximity and related effects in advanced wafer processes”,[J]In Proceedings of SPIE Symposium on Optical Microlithography Vol.2440,1995:252-260.
    [38]J.Stirniman and M.Rieger,“Spatial-filter models to describe IC lithographic behavior”,[J]Proceedings of SPIE Vol.3051,1997:469-478.
    [39]N.Cobb,“Fast mask optimization for optical lithography”,[D]Master's thesis,University of California at Berkeley,1994.
    [40]N.Cobb and A.Zakhor,“Large area phase-shift mask design”,[J]In Proceedings of SPIE Symposium on Optical Microlithography Vol.2197,1994:348-360.
    [41]N.Cobb and A.Zakhor,“ Fast,low-complexity mask design”,[J]In Proceedings of SPIE Symposium on Optical Microlithography Vol.2440,1995:313-327.
    [42]N.Cobb and A.Zakhor,“Fast sparse aerial image calculation for OPC”,[J]In Proceedings of BACUS Symposium on Photomask Technology Vol.2621,1995:534-545.
    [43]N Cobb,A Zakhor and E Miloslavsky,“Mathematical and CAD framework for proximity correction” [J]Proc SPIE Vol 2726,1996:208-222.
    [44]M.Totzeck,etal.,“ How to describe polarization influence on imaging”,[J]SPIE Vol.5754,2005:23-37.
    [45]B.W.Smith,and J.Cashmore,“Challenges in high NA,polarization and photoresists,” [J]Proc.of SPIE Int.Soc.Opt.Eng.4691,2002:11-24.
    [46]B.W.Smith,Lena Zavyalova and Andrew Estroff,“Benefiting from polarization-effects on high-NA imaging,” [J]Proc.SPIE Int.Soc.Opt.Eng.5377,2004:68-79.
    [47]D.G.Flagello et al.,“Theory of high-NA imaging in homogeneous thin films,” [J]Opt.Soc.Am.A,Vol.13,No.1,1996:53-64.
    [48]Junjiang Lei,Min Bai,Jim Shiely,Lin Zhang,“Hopkins equation in Hilbert space and its application in polarized illumination modeling”,[J]SPIE Vol.5754,2005:953-960.
    [49]Min Bai,J.Lei,J.Shiely,L.Zhang,“Simulations of immersion lithography”,[J]Proc.of SPIE Vol.5754,2005:961-968.
    [50]C.Carmeli,E.De Vito,A.Toigo,“Reproducing kernel Hilbert spaces and Mercer theorem”,[J]22~(nd)March 2005:1-30.
    [51]Chi-Yuan Hung,Ching-Heng Wang,Qing-Wei Liu,“A methodology to calibrate line-end gauge position for better modeling performance”,[J]SPIE Vol.5754,2005:1138-1146.
    [52]S.Choi,J.Park,C.Park,W.Chung,I.Kim,D.Kim,M.Yoo,J.Kong,“Hybrid PPC methodology using the individual model of photo and etch process and implementation for sub-100-nm node”,[J]in Optical Microlithography XVI,Proc.SPIE 2003:1176-1183.
    [53]Daniel F.Beale,James P.Shiely,Lawrence L.Melvin Ⅲ,Michael L,Rieger,“Advanced Model Formulation for Optical and Proximity Correction”,[J]Proc.of SPIE Vol.5040,2003:441-449.
    [54]Y.Granik,N.Cobb,“New Process Model for OPC at sub-90nm Nodes”,[J]Proc.of SPIE Vol.5040,2003:1166-1175
    [55]K.Hashimoto,T.Sutani,T.Ikeda,S.Nojima,S.Inoue,“Experimental Verification Methodology for Model-based process proximity correction (PPC)” [J]in Optical Microlithography XVI,Proc.of SPIE 2003:1156-1165.
    [56]Hyunjo Yang,etal.,“OPC Accuracy Enhancement through Systematic OPC Calibration and Verification Methodology for sub-lOOnm Node”,[J]SPIE Vol.5752 2005:720-726.
    [57]Melvin,Lawrence S.,Ill,James P.Shiely,et.al.,“A Methodology to Calculate Line-End Correction Feature Performance as a Function of Reticles Cost,” [J]Proc.of SPIE,2003:441-449.
    [58]Melvin,Lawrence S.,Ill,J.Shiely,M.Rieger,B.Painter,“A Methodology to Calculate Line-End Correction Feature Performance as a Function of Reticle Cost,”[P]Paper No 5040-40,Optical Microlithography XVI,Proceedings of SPIE,A.Yen ed.,Santa Clara,CA,USA,February 25-8,2003:441-9
    [59]Benjanmin Painter,Lawrence S.Melvin Ⅲ,Michael L.Rieger,“Classical Control Theory Applied to OPC Correction Segment Convergence”,[J]SPIE Vol.5377,2004:1198-1206.
    [60]Lawrence S.Melvin Ⅲ,James P.Shiely and Quliang Yan,“Detecting Focus-Sensitive Configurations During OPC”,[J]SPIE Vol.5756,2005:255-261.
    [61]R.Wilcox,T.Forhan,G.Starkey,D.Turner,“Design for manufacturability:a key for semiconductor manufacturing excellence”,[C]IEEE/SEMI 9th Annual ASMC Conference Proceedings,1998.
    [62]Lars Liebmann,etal.,“Integrating DFM Components into a Cohesive Design-to-Silicon Solution”,[J]Proc.of SPIE Vol.5756,2005:1-12.
    [63]James Hogan,etal,“Design Process Optimization,Virtual Prototyping of Manufacturing & Foundary-Portable DFM”,[J]SPIE Vol.5756,2005:255-261.
    [64]Chris Spence,“Full-Chip Lithography Simulation and Design Analysis-How OPC is changing IC Design”,[J]SPIE Vol.5751 2005:1-14,.
    [65]H.H.Hopkins,“On the Diffraction Theory of Optical Images”,[J]Proc.Roy.Soc.A217,1953:408-432.
    [66]Konstantinos Adaml,Yuri Granik,Andres Torres,Nick Cobb,“Improved Modeling Performance with an Adapted Vectorial Formulation of the Hopkins Imaging Equation”,[J]Proc.of SPIE Vol.5040,2003:78-91.
    [67]Synopsys application note:Vector model in thin film stack.[S]
    [68]Chris A.Mack,“Corner Rounding and Line-end Shortening in Optical Lithography”,[J]Proceedings of SPIE Vol.4226,2000:83-92.
    [69]Lawrence S,Melvin Ⅲ,James P.Shiely and Qiliang Yan,“Detecting Focus-Sensitive Configurations During OPC” [J]Proceedings of SPIE Vol.5756,2005:255-261.
    [70]Chris A.Mack,“Inside PROLITH”,[P]1997 by FINLE Technologies,Inc.,p.25-28.
    [71]Robert Jones,Jeffrey Byers,“Theoretical Corner Rounding Analysis and Mask Writer Simulation”,[J]Proceedings of SPIE Vol.5040,2003:1035-1043.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700