SLM无掩模光刻技术的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
近年来,空间光调制器(SLM)无掩模光刻技术受到微电子及相关领域的广泛关注。SLM作为无掩模光学光刻系统的图形发生器,可便捷、灵活、并行、低成本和高速地产生曝光图形,在小批量高精度掩模制作和微光学器件生产中发挥了重要作用,在高分辨集成电路制作上也表现出极其诱人的应用前景。目前,发展SLM光刻技术已成为国际光刻系统制造领域的一个重要研究内容,基于SLM的无掩模光刻系统有望成为下一代微纳加工的一个重要工具。为满足我国对纳米加工技术日益增长的应用需求,促进我国微电子和MOEMS技术的快速发展,本论文以开展SLM光刻成像理论和研制SLM无掩模光刻系统为目标,对作为数字图形发生器应用于无掩模光刻中的MEMS微镜的光学特性、成像特性、基于SLM的无掩模光刻成像质量及相关问题进行了深入、系统的理论研究和实验探索。研究内容包括:
     1.系统地阐述和总结部分相干成像和抗蚀剂曝光显影理论,针对用于无掩模光刻的几种空间光调制器的特点进行了深入细致地研究。通过数学建模以分析倾斜型、活塞型和数字微反镜的光学特性,通过比较它们作为光刻系统的数字掩模的成像效果的差异,总结各种MEMS微镜的光刻成像特点,进而建立适于描述无掩模光刻成像的理论模型、数字灰度成像计算机仿真算法,并编写光学光刻过程的计算仿真软件。为SLM无掩模光刻成像分析、作为数字掩模的MEMS器件参数确定和无掩模光刻成像光路设计提供理论依据。
     2.分析集成电路制作对SLM无掩模光刻成像特性和质量的要求,探索提高SLM光刻分辨率的有效途径。研究表明,SLM用于光刻系统中,在线宽调制、线边缘和线条位置定位、改善成像质量方面比传统掩模有特色、更灵活。针对SLM光刻成像对离焦量较为敏感问题,提出通过微镜的排列方式改善成像系统焦深,探讨光学邻近效应校正技术改善SLM成像质量的可行性,并发展了用优化偏转微镜的偏转量来改善光刻图形质量的牛顿—拉普森算法。
     3.探讨数字灰度光刻技术,采用DMD搭建数字灰度光刻系统,开展数字灰度光刻制作微光学器件的理论和实验研究,提出用DMD灰度曝光装置制作微光学器件曝光方式及改善器件加工质量的方法,包括衍射栅格消除、数据传输量、边缘畸变校正等问题。针对脉宽调制编码方法对连续对称图形易引起周期性的表面起伏问题,采用通过调整照明源相干性技术或预优化SLM掩模图形技术来加以校正;通过成像系统结构和曝光显影工艺的优化,制作出多种面形的微光学元件,为快速,方便地制作微结构元件提供了一种新思路。
     4.目前,纳米加工技术和表面等离子激元(SPP)技术是学界国际上关注的热点,而探索无掩模超衍射极限纳米光刻技术也一直是我们的追求目标。论文最后一章试图把SPP技术应用于无掩模光刻,通过深入分析SPP形成的物理机理与特点,提出利用宽光束照明全内反射激发SPP实现大面积无掩模纳米干涉光刻的方案,并进一步提出利用厚金属SPP共振透射机制实现准周期性、非周期光刻或任意纳米图形的加工。若把这一思想与SLM相结合,有可能发展成一种新型无掩模光刻装置用于制作任意形状纳米结构。
In recent years, optical maskless lithography technique based on spatial light modulator(SLM) has been attracted wide attentions. SLM is adopted as pattern generator in maskless lithography because of its convenience, programmable control and parallel print. SLM-based maskless lithography technique plays a very important role in the fabrication of high-quality mask and micro optical elements(MOE), especially for low-volume runs, and further provides potential application in high-resolution integrated circuits(IC) fabrication. Now it is an important target to develop SLM-based maskless lithography technique in lithographic community. To meet the continuously increasing requirement of nanofabrication and promote the rapid development of microelectronic and MOEMS techniques in China, and aiming at developing the imaging theory of SLM lithography and building SLM-based maskless lithography system, we made a comprehensive and systemic study on MEMS mirror's optical features, the imaging quality of SLM-based maskless lithography and its applications in this dissertation.
     1. The partial coherent imaging theory used in lithographic system with the exposure and development theories in resist was academically summarized, and the peculiarities of several SLMs for lithography were studied in detail. Following the build of their mathematical models, the optical characteristics of tilting mirrors, piston mirrors and digital micro device(DMD) were analyzed, and then their differences in imaging effect were compared to obtain their imaging features, and further the theoretic model and emulation algorithm suitable for depicting the imaging process of SLM-based maskless lithography were built, and finally a program was developed to simulate SLM-based optical lithography process, which provide the theoretic base for analyzing the imaging of SLM-based maskless lithography, choosing the parameters of MEMS mirrors as digital mask and designing the optical path in SLM-based maskless lithography system.
     2. The conditions of SLM-based maskless lithography for the fabrication of IC were analyzed, and the methods for the improvement of lithographic resolution were explored. The study results show that there are some advantages in SLM-based maskless lithography such as basic CD adjustment, edge positioning with grayscaling, arbitrarily placing minimum features with good imaging quality, and so on. According to the shortcoming of the sensitivity to defocus, we presented to use different mirrors' arrangement tricks to correct it. We also studied the optical proximity effects in SLM-based maskless lithography and develop Newton-Raphson algorithm for adjusting the deflection quality of MEMS mirrors to improve the imaging quality.
     3. DMD-based gray-tone lithography technique was discussed, and the theoretical and experimental studies of the fabrication of MOE with the technique were performed. We explored the exposure methods for fabricating MOE and the methods for improving MOE fabrication quality in detail which involves removing grid effect, deducing data delivery and correcting edge aberration, etc. Due to the data delivery technique of pulse width modulation easily bringing surface aberrations in fabricating MOE, we presented two methods to solve it, namely, to adjust the coherence of illumination source and optimize the mask design. Through the modification of the imaging system and the process parameters, we fabricated some MOEs with good profile, which gives out a new path to fabricate microstructure elements.
     4. Now nanofabrication and surface plasmon polariton(SPP) techniques are being explored, and meanwhile it is our pursuit purpose to develop maskless nanofabrication technique beyond the diffraction limit. We try to apply SPP to maskless lithography in the lattermost chapter. Through the in-depth analysis of the physical forming mechanism and the characteristics of SPP, we presented to use wide beam illumination with ATR coupling mode to realize large-area interference lithography, and further presented to use SPP resonance transmission in thick metal film to fabricate quasi-periodic, non-periodic and arbitrary nanostructures, which is expected to be a new nanofabrication tool when combining with SLM maskless lithographic technique.
引文
1. Moore G..E., Cramming more components on intergrated circuits, Electronics, 1965, 38(8)
    2.顾振军,孙猛,抗蚀剂及其微细加工技术,上海:上海交通大学出版社,1989
    3. B.Lin, Microlithography: from contact print to projection systems, OE Reports, 1997,158:1-8
    4. Jiang Jun, The development of lithographic technology, Proc. of SPIE, 2002, 4921:57-65
    5. H.Moritz, High-resolution lithography with projecton printing, IEEE Trans. Electron Devices, 1979, 26:705-710
    6. J.D.Cutbert, Optical projection printing, Solid State Technol. 1977, 20:59-69
    7. The International Technology Roadmap for Semiconductors ITRS is available at http://www.itrs.net/Common/2OOSUpdate/2OO4Update.htm
    8. M.D. Levenson Bob Haavind, SPIE Microlithography Report: LIL may bridge 157nm,EUV gap, Solid State Technol. 2003, 46(5):44-51
    9. Fritze, M., Noomstein, T.M.; Tyrrell, B.,Rothschild, M., Extending 193nm immersion with hybrid optical maskless lithography, Solid State Technology, 2006, 49(9): 41-3
    10. Hagiwara, T., Ishimaru, T., Tsuji, S., et ai., Liquid immersion lithography at 157 nm, Proc.of SPIE, 2004, 5754(1): 435-46
    11. Nagel, D. J., Ultraviolet and X-ray lithography, Proc. of SPIE, 1981, 279:98-110
    12. Daliwhal R S, Golladay, S.D., Gordon, M.S, et al, PREVAIL-electron projection technology approach for next generation lithography, IBM J. Res. & Dev., 2001,45(5):615-38
    13. Matsui S, et al., Lithogrphy approach for 100nm fabrication by focused ion beam, J. Vac.Sci. Technol. B,1986, 4:845-52
    14. Jos P. H. Benschop, Anton J. J. van Dijsseldonk ,Winfried M. Kaiser, David C. Ockwell,EU2CL IDES: European EUV Lithography milestones, Solid State Technol., 1999,42 (9):43-521
    15. Stulen R H. Overview of U S milestone program and integrating and EUVL laboratory tool. In: Namioka T, Kinoshita H, ItoKeds. J SPE Proc. of the Second U S-Japan Workshop on soft X- ray Optics, Yamnakko, Japan, 1996, 5-23
    16.程天风,光刻永恒,半导体技术,2005,6(5):23-27
    17. D.J. Nagel, M.E. Zaghloul, MEMS: micro technology, mega impact, IEEE Circuits & Device, 2001, 17(2): 14-25
    18. R. Wechsung, N. Unal, Market analysis for Microsystems: an interim report from the nexus task force, Micro System Technol. 98 Proceedings, 1998, 3:275
    19.张兴,跨世纪的新技术—微机电系统(MEMs),电子科学导报,1999,4:2-6
    20. Z.E Wang, W. Cao, X.C. Shan, et al., Development of 1×4 MEMS-based optical switch,Sensors and Actuators A, 2004, 114:80-87
    21. E. Oilier, P. Mottier, Micro-Opto-Electro-Mechanical Systems: Recent developments and LETI's activities, Proc. of SPIE, 2000, 4073:12-21
    22. John Comtois, Adrian Michalicek, William Cowan, Surface-micromahined polysilicon MOEMS for adaptive optics, Sensors and Actuators, 1999, 78:54-62
    23. Hsiao R., Fabrication of magnetic recording heads and dry etching of head materials,IBM, J. Research and Development, 1999, 43(1-2):89-102
    24. Fullerton E.E., et al., Advanced magnetic recording hmedia for high-density date storage,Solid State Technol., 2001, 44(9):87-92
    25. Takai, M., Okawa, S.,Suwa, T., Nakada, et al., Fabrication of discrete track perpendicular media, Journal of the Magnetics Society of Japan, 2004, 28(3):249-53
    26. Yabionovitch E., Inhibited spontaneous emission in solid state physics and electronics,Phys. Rev. Lett., 1987, 58(20):2059-62
    27. Vlasov, Yu.A., Dulkeith, E., Sekaric, L., et al., Silicon photonic crystals and photonic wires circuits, 2005 Conference on Lasers and Electro-Optics Europe (IEEE Cat. No.05TH8795), 2005, p 587.
    28. Rodgers, J.S., Quasi-3D photonic crystals for nanophotonics, Proc. of SPIE, 2005,5732(1):511-19
    29. Braxton S., Bedilion T., The integration of microarray information in the drug development process, Curr. Opin. Biotechnol., 1998, 9(6):643-49
    30. Basik, Mark, Mousses, Spyro; Trent, Jeffrey, Integration of genomic technologies for accelerated cancer drug development, BioTechniques, 2003, 35(3): 580-593
    31. Becher H., Fabrication of polymer microfluidic devices in: Biochip Technology, edited by J. Cheng and L.J. Kricka, Harwood Academic Publishers, 2001
    32. Cleland A.N., Roukes M.L., Fabrication of high frequency nanometer scale mechanical resonators from bulk Si crystal, J. Appl.Phys., 1996, 69(18):2653-5
    33. Chou S. Y., Zhuang L., Lithographically induced self-assembly of periodic polymer micropillar array, J. Vac. Sci. Technol. B, 1999, 17(6):3197-202
    34. Wei Wu, Bo Cui,Xiao-Yun Sun, et al, Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B, 1998, 16(6):3825-9
    35. W.B.Veldkamp, J.R.Leger, and G.J.Swanson, "Overview of microoptics past, present and future," Proc. of SPIE, 1991, 1544:287-299
    36. Veldkamp, Wilfrid B., Binary optics and beyond: Where do we go from here?, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 2006, 45(8):6550-6554
    37. Jun Yao, Zheng Cui, Fuhua Gao, et al., coding gray-tone mask for refractive microlens fabrication, Microlectron. Eng., 2000, 53:531-4
    38. Cui Z., Du J., Guo Y., et al., Overview of gray-scale photolithography for micro optical elements fabrication, Proc. of SPIE, 2003, 4984:111-120
    39. R.A. Lawes, G..G.. Arthur, LIGA for Boomerang, Proc. of SPIE, 2004, 5276:307-317
    40. Hunter, L.L, Skala, D.M., Levey, B.S., Investigation of sidewall cracking in PMMA LIGA structures, Journal of Micromechanics and Microengineering, 2006, 16(7): 1181-8
    41. Chad Brubaker, Rafiqul Islam, Helge Luesebrink, Ultra-thick Lithography for advanced packaging and MEMS, Proc. of SPIE, 2002, 4690:270-276
    42. Peng Jin, Kyle Jiang, Nianjun Sun, Microfabrication of ultra-thick SU-8 photoresist for microengines, Proc. of SPIE, 2003, 4979:105-110
    43. Nakao, M., Nanoprinting technology, Transactions of the Institute of Electronics,Information and Communication Engineers C, 2002, J85-C (9):793-802
    44. Yokoo, A., Nakao, M.; Masuda, H.; Tamamura, T., Direct nanoprinting technology and its application to nanostructure fabrication, Conference Proceedings - Lasers and Electro-Optics Society Annual Meeting-LEOS, 2000, 2:417-418
    45. H.H. Solak, C. David, "Patterning of circular structure arrays with interference lithography", J. vac. Sci. Tec. B, 2003, 21:2883-7
    46. R.J. Blaikie, S.J. McNab, "Evanescent interferometric lithography", Appl. Opt., 2001,40:1692-8
    47. Xiangang Luo, Teruya Ishihara, "Surface plasmon resonant interference nanolithography technique", Appl. Phys. Lett., 2004, 84:4780-3
    48. Xiaowei Guo, Jinglei Du, Yongkang Guo, et al., Large-area surface-plasmon polariton interference lithography, Optics Letters, 2006, 31 (17):2613-15
    49. Katherine Derbyshire, Issues in advanced lithography, Solide Stater Technol., 1995
    50. Levenson, M.D., Viswanathan, N.S., Simpson, R.A., Improving resolution in photolithography with a phase-shifting mask, IEEE Transactions on Electron Devices, 1982,ED-29(12): 1828-36
    51.冯伯儒,陈宝钦,相移掩模的制作,微细加工技术,1997,1:8-16
    52. H.Fukuda, R.Kamanaka, A new pupil filter for annular illumination in optical lithography,JPN. J.. Appl. Phys., 1992, 13(12):4126-30
    53. H.Fukuda, T.Teraswa, S. Okazaki, Spatial filtering for depth of focus and resolution enhancement in optical lithography, J.. Vac. Sci. Technol. B, 1991, 9(6):3113-6
    54. Mack, C.A., Off-axis illumination, Microlithography World, 2003, 12(3 ): 14-16
    55. Jessen, S., Mason, M., O'Brien, S., Design rule considerations for 65 nm node contact using off axis illumination, Proc.of SPIE, 2005, 5756(1):281-91
    56. Kamon, K., The optical proximity effect of a next generation super resolution technique,Proc. of SPIE, 1997, 3051:66-76
    57. Zheng Cui, Jinglei Du, Qizhong Huang, Optical proximity correction by grey tone photolithography, Microelectronic Engineering, 2000, 53(1-4): 153-6
    58.杜惊雷,黄奇忠,黄晓阳,郭永康,崔铮,光学邻近校正的新方法,应用激光,1996,17(6):244-246
    59.翁寿松,无掩模光刻技术的前景,电子工业专用设备,2005,8:1-3
    60. Rajesh Menon, Amil Patel, Dario Gii, Maskless lithography, materialstoday (Review ferture), 2005, P27-33
    61. Kato, T., Watakabe, Y., Kato, T., An electron beam exposure technique, Mitsubishi D.enki Giho, 1981, 55(4):60-4
    62. Daliwhal R.S., Enichen, W.A., Golldaday, S.D., et al., PREVAIL-electron projection technology approach for next generation lithography, IBM J.Res. & Dev., 2001, 45(5):615-38
    63. Matsui S., et al., Lithography approach for 100nm fabrication by focused ion beam,J. Vac.Sci. Technol.B. 1986, 4:845-8
    64. McGeoch, M.W., Maskless EUV lithography via optically addressed modulator, Proc. of SPIE, 2005, 5751 (1):368-73
    65. Brandstatter, C., Loeschner, H., Stengl, G., Projection maskless lithography, Proc. of SPIE, 2004, 5374(1):601-9
    66. Switkes, M.,Bloomstein, T.M., Rothschild, M., Interference lithography at 157 nm, Proc.of SPIE, 2000, 4000(1): 1590-3
    67. Treiger, L.M., Popov, A.A., Laser direct writing of aluminum multilevel interconnects for VLSI applications, Microelectronic Engineering, 1992, 19(1-4):729-32
    68. Bloomstein, T.M., Marchant, M.F.; Deneault, S., et al., 22-nm immersion interference lithography, Optics Express, 2006, 14(14):6434-6443
    69. Carter, D.J.D., Gil, D., Menon, R., et al., Maskless, parallel patterning with zone-plate array lithography, Journal of Vacuum Science & Technology B, 1999, 17(6):3449-52
    70. Djomehri, I.J., Savas, T.A., Smith, H.I., Zone-plate-array lithography in the deep ultraviolet, Journal of Vacuum Science & Technology B, 1998, 16(6):3426-9
    71. Gang Luo, Guoyong Xie; Yongyi Zhang; et al., Scanning probe lithography for nanoimprinting mould fabrication, Nanotechnology, 2006, 17(12):3018-22
    72. Piner, R.D., Jin Zhu; Feng Xu; et al., "Dip-pen" nanolithography, Science, 1999,283(5402): 661-3
    73. Broers A.N., Combined electron and ion beam processes for microeleetronies,Electronics and Reliability, 1965, Pergamon Press, V4, P103
    74. Hailer I., Hazakis M., Srinivasan R., High resolution positive resists for electron beam exposure, IBM J. Res.& Dev. 1968, 12:251
    75. Golladay, S.D.,Pfeiffer, H.C.; Rockrohr, J.D.,et al., PREVAIL Alpha system: Status and design considerations, Journal of Vacuum Science & Technology B, 2000, 18(6):3072-8
    76. Chang T.P.H., Kern D.P., Murray L.P., Arrayed miniature electron beam columns for high throughput sub-100nm lithography, Journal of Vacuum Science & Technology B, 1992,10:2743-7
    77. Orloff J., Utlaut M., Swanson L.M., High resolution focused ion beams, Chapter 4,Interactions of ions with solids, Kluwer Academic Publisher, 2003
    78. Stewward D., et al., Focused ion beam induced deposition fo low resistivity gold films,Journal of Vacuum Science & Technology B, 1989, 7:816-22
    79. Desplats, R., Dargnies, T., Courrege, J.-C., Calculation of the optimal FIB milling and deposition operations for easier and faster circuit reconfiguration, Microelectronics Reliability, 2000,40(8-10): 1759-64
    80. Prewett ED., Eastwood A.W., Turner G..S., et al., Gallium staining in FIB repaire of photomasks, Microelectronic Engineering, 1993, 21:191-6
    81. Ochiai Y., Gamo K., Namba S., Characterisation of ion-beam assisted etching of GaAs using focused ion beam-dependence on gas pressures, dpn. J. Appl. Phys., 1984, 23 :L400-6
    82. Oka, Y., Kirinuki, M., Suzuki, T., et al., Effect of ion beam implantation on density of DLC prepared by plasma-based ion implantation and deposition, Nuclear Instruments & Methods in Physics Research, B, 2006, 242(1-2):335-7
    83. Reyntjens, S., Puers, R., A review of focused ion beam applications in microsystem technology, Journal of Micromechanics and Microengineering, 2001,11 (4):287-300
    84. H. I. Smith, A proposal for maskless, zone-plate-array lithography, J Vac. Sci.Technol. B., 1996,14(6):4318-4322
    85. Smith, H. I., Craighead, H. G., Nanofabrication, Physics Today,1990, P24-30
    86. Gil, D., Rajesh Menon; Smith, H.I., The promise of diffractive optics in maskless lithography, Microelectronic Engineering, 2004, 73-74:35-41
    87.张锦,激光干涉光刻技术研究,四川大学博士毕业论文,2003
    88. R. Fabian Pease, Maskless lithography, Microelectronic Engineering, 2005,78-79:381-392
    89. Revolutionary Research Opportunities, Part 3, Contributed by J. Bokor/University of California at Berkeley.0
    90.崔铮,下一代光刻技术战略研讨会,成都,2006,10
    91. http://www.gd-emb.com/detail/id-21611.html,http://www.edntaiwan.com/print.asp?id=2432,http://www.chinazcpg.com/ypnew view.asp?id=7082&cid=18
    92. Ljungblad, U.,High-end mask manufacturing using spatial light modulators,Solid State Technology, 2005, 48(4):8-10
    93. Lakner, H.,Durr, P.; Dauderstaedt, U.et al., Design and fabrication of micromirror arrays for UV lithography, Proc. of SPIE, 2001, 4561:255-64
    94. Yijian Chen, Chi Hui Chu, Shroff, Y., et al., Design and fabrication of tilting and piston micromirrors for maskless lithography, Proc. of SPIE, 2005
    95. U. Dauderstadt, P. Durr, T. Karlin, et al., Application of spatial light modulators for microlithography, Proc. of SPIE, 2004, 5348: 119-126
    96. Jorg Paufler, Stefan Brunn, Tim Korner, Continous image writer improved image quality for high accuracy optical patterning, Proc. of SPIE, 2001, 4349:51-59
    97. The patent is available at: http://www.cpsfu.com/GO3F/2005/1573561.shtml
    98. R. Seltmann, W. Doleschal, A. Gehner, et al., New system for fast submicron optical direct writing, Microelectron. Eng., 1996, 30:123-7
    99. K. Takahashi and J. Setoyama, An UV-exposure system using DMD, J. lnst. Electron.,Inf Commun. Eng., 1999, J82-C-Ⅱ(3):92-94.
    100. Kin Foong Chan, Zhiqiang Feng, Ren Yang, et al. Microsyst., High-resolution maskless lithography. J.Microlith., microfab., 2003, 2(4): 331-338
    101. Kentaro Totsu, Kenta Fujishiro, Shuji Tanaka, Gray-scale lithography using maskless exposure system, The 13th international conference on Solid-state sensors, Actuators and Microsystems, Seoul, Korea, June 5-9, 2005, P1441-4
    102. Malcolm W. McGeoch, Maskless EUV lithography via optically addressed modulator,Proc. of SPIE, 5751:349-51
    103. M. W. McGeoch, Optically Addressed Extreme Ultraviolet Modulator and Lithography System Incorporating Modulator, US Patent application.
    104. N. Chokshi, F. Pease, Y. Shroff, et. al, Maskless EUV Lithography,, Journal of Vacuum Science and Technology B, 1999, 17(6):3047-51
    105. Lars Erdmann, Amaud Deparnay, Falk Wirth, et al. MEMS based lithography for the fabrication of microoptical components. Proc. of SPIE, 2004, 5347:79-84
    106.彭钦军,郭永康,陈波等,液晶实时掩模技术制作连续微光学元件,光学学报,2003,23(2):220-224
    107.颜树华,戴一帆,吕海,基于空间光调制器的灰度掩模制作系统,中国激光,2004,31(1):45-47
    108.郭欢庆,王肇圻,王金城,数字合成全息系统中空间光调制器DMD的研究,光电子·激光,2004,15(1):9-12
    1 Van Zant E, Microchip Fabrication, 3rd Edition, McGraw-Hill, 1997
    2 Richard B., WolfE., Electromagnetic diffraction in optical system Ⅱ: Structure of the image field in an aplanatic system, Proc. Phys. Soc..A, 1959, 253:358
    3 Ebo Croffiela, Nick Eiba, Neal Callana, Application of Rigorous Electromagnetic Simulation to SLM-based Maskless Lithography for 65nm Node, Proc. of SPIE, 2003,5256:842-850
    4 M.波恩,E.沃耳夫,光学原理,下册,北京:科学出版社,1981
    5 王之江,伍树东,成像光学,下册,北京:科学出版社,1991
    6 戚康男,秦克诚,程路,统计光学导论,天津:南开大学出版社,1987
    7 C.A. Mack, Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation,FINLE Technologies, Austin, TX, 1997
    8 唐波,王效才,邢廷文,部分相干成象的模拟计算方法,光电工程,1996,23(12):20-27
    9 Hopkins H.H., On the diffraction theory of optical images, Proc.Roy.Soc.A, 1953, 217:1953
    10 崔铮,微纳米加工技术及其应用,高等教育出版社,2005年6月,P55-58
    11 EH. Dill, et al. Optical lithography. IEEE Trans. Electron Devices, 1975, ED-22(7):440-444
    12 W. Flaek, G. Newman, D. Berard, et al., Advanced simulation techniques for thick photoresist lithography, Proc. of SPIE, 1997, 3049:789-804
    13 S. J. Chuang, J. Schulz, H. Hein, et al., Simulation of structure profiles in optical lithography of thick DNQ-Novolak based photoresists, Proc. of SPIE, 2000, 3999:1226-35
    14 顾振军,孙猛.抗蚀剂及其微细加工技术.上海:上海交通大学出版社,1989.
    15 Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake, Study on Improved Resolution of Thick Film Resist, Proc. of SPIE, 2001, 4305: 921-935.
    16 M.Kubenz, U.Ostrzinski,F.Reuther, et al, Effective baking of thick and ultra-thick photoresist layers by infrared radiation, Microelectronic Engineering, 2001, 67-68:495-501.
    17 C.A. Mack. Absorption and exposure in positive photoresist. Applied Optics, 1988,27(23):4913-491
    18 J.E. Korka, Standing wave in photoresist, Applied Optics, Vol.9, No.4, 1970, p969-970.
    19 EH.Dill, W.P.Hornberger, P.S.Hauge, et al. Characterization of positive photoresist. IEEE Trans. Electron Devices, 1975, ED-22(7):445-452
    20 Xiao Xiao, Jing Yang, Jinglei Du, Yongkang Guo, Simulation of optical lithography process for fabricating diffractive optics, Proc. of SPIE, 2002, 4924:221-227
    21 K.L. Konnerth, F.H. Dill, et al. In-situ measurement of dielectric thickness during etching or developing processes. IEEE Trans. Electron Devices, 1975, ED-22(7):452-456.
    22 C.A. Mack. Development of positive photoresist. J.Electrochera.Soc.: Solid-State Sci.Technol., 1987, 134(1):148-152.
    23 C.A. Mack. A new kinetic model to describe photoresist development. J.Electrochm. Soc.,1992, 139(4):35-37.
    24 G. Arthur, C. A. Mack. A new development model for lithography simulation. Olin Microlithography Seminar, Interface '97,1997, p55-66
    25 S.H. Thornton, C.A. Mack. Lithography model tuning: Matching simulation to experiment.Proc. of SPIE, 1996, 2726:223-235.
    26 G. Arthur. Modifying the surface inhibition layer of thick resists for Improved process control. Proc. of SPIE, 2001, 4404:372-379.
    27 Ioannis Karafyllidis, Paul Issac Hagouel, Antonios Thanailakis, et al., An efficient photoresist development simulator based on cellar automata with exprimental verification, photoresist development simulator based on cellar automata with exprimental verification,IEEE Transactions on semiconductor manufacturing, 2000, 13(1):61-75
    28 Rob, Kenny K. H. Neureuther, Andrew R.; Scheckler, Edward W., Algorithms for simulation of three-dimensional etching, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, 13(5): 616-624
    29 Jewett, R. E.; Hagouel, P. I.; Neureuther, A. R.; Van Duzer, T., Line-profile resist development simulation techniques, Polymer Engineering and Science, 1976, 17(6):381-384
    30 Neureuther A. R., Jewett R.E., Hagouel P.I., et al., Surface-etching simulation and application in IC processing, Proc. Kodak Microelectronics Seminar, Interface'76, Monterey, CA, 1976
    31 Helmsen J.J., Yeung M., Lee D., et al., SAMPLE-3D benchmarking including high NA and thin film effects, Proc. of SPIE, 1994, 1924:478-88
    32 Mack C. A., PROLITH:A comprehensive optical lithography model, Proc of SPIE, 1985,538:207-20
    33 Henke W., Czech G., Simulation of lithography images and resist profiles, Microelectroic Engineering, 1990,11 (1-4):629-33
    34 崔铮,微纳米加工技术及其应用,高等教育出版社,2005年6月,P55
    35 Lin B., Phase-shifting and other challenges in optical mask technology, Proc. of SPIE,1990,1496:54-63
    36 Mack, C.A.,Using the normalized image log-slope Part 2, Microlithography World, 2001,10(2):20-22
    37 W.M. Moreau, Semiconductor lithography: principles, practices, and materials, Plenum,New York, 1998
    38 K.K.H. Toh and A.R. Neureuther, Three-Dimensional Simulation of Optical Lithography,Proc. of SPIE, 1991, 1463:356-367
    1 李育林,傅晓理,空间光调制器及其应用,北京,国防工业出版社,1996.
    2 F. Mok, J. diep, H.K.Liu, et al.. Real time computer generated holograph by means of a liquid crystal television spatial light modulator. Opt Lett., 1986, 11:748
    3 H. K. Liu, J.A.Davis, R. A.lilly. Optical data processing properties of a liquid crystal television spatial light modulator. Opt Lett., 1985, 10:635
    4 F.T.S.Yu, S. Jutamulia, J. W.Lin, et al. Adaptive real time pattern recognition using a liquid crystal TV based joint transform correlator. Appl. Opt., 1987, 26:1370
    5 员智省.液晶空间光调制器纯相位调制及其应用研究.西安:中国科学院西安光学精密机械研究所,1998
    6 Jan Grinberg, Jacobson A D, Bleha W P, et al. A new real-time non-coherent to coherent light image converter-the hybrid field effect liquid crystal light valve. Opt. Eng., 1975, 14:217.
    7 Kallman Robert R, Goldstein Dennis H. Phase-encoding input images for optical pattern recognition, Opt. Eng., 1994, 33(6): 1806.
    8 Homer Joseph L, Gianono Peter D. Phase only matched filtering. Appl. Opt, 1984, 23(6):812.
    9 Wang Re Q, Cartcoright C.M Soutar C, et al., Real time color image correlation with a color liquid crystal television and a Fresnel Holographic filter. Appl. Opt, 1999, 32(5): 715.
    10 Dou R and Giles M., Closed-loop adaptive optics system with a liquid crystal television as a phase retarder. Opt Lett, 1995, 20(1): 583
    11 Love G, et al. Binary adaptive optics-atmospheric wave-front correction using a halfwave phase shifter. Appl Opt, 1995, 34:6058
    12 赵达尊,张怀玉.空间光调制器.北京:北京理工大学出版社,1992.
    13 R. Seltmann, W. Doleschal, A. Gehner, et al., New system for fast submicron optical direct writing, Microelectron. Eng., 1996, 30:123-7
    14 A. Bertsch, S.Zissi, et al, Microstereolithography using a liquid crystal display as dynamic mask-generator, Microsystem Technol. 1997, 3:42
    15 Dauderstadt, Ulrike,Durr, Peter, Karlin, Tord, et al., Application of Spatial Light Modulators for Microlithography, Proc. of SPIE ,2004, 5348:119-126
    16 Ljungblad, U.,High-end mask manufacturing using spatial light modulators,Solid State Technology, 2005, 48(4):8-10
    17 Jackson, C.A., Buck, P., Cohen, S. et al., DUV laser lithography for photomask fabrication,Proc. of SPIE, 2003, 5256(1):30-41
    18 Howe R.T., Surface micromachine for microsensors and micromotors, J. Micromech.Microeng. 1991, 1:73
    19 L. J. Hornbeck, Digital light Processing & MEMS timely convergence for a bright future,Proc. Of SPIE ,1995, 2641:2-8
    20 L. J. Hornbeek, Digital light Processing & MEMS timely convergence for a bright future,Proc. Of SPIE ,1995, 2641:2-8
    21 J. W. Judy, R. S. Muller, Magnetic microactuation of tortional polysilicon structure,Sensor & Actuators, 1996, A53:392-397
    22 C. H iggs, H. Barclay et al., Adaptive optics compensation using active ilium ination,Proc. of SPIE, 1998, 3381:47-56
    23 M. H. Kiang, D. A. Francis, C. J. Chang, Actuated polysilicon micromirrors for raster scanning display, Digest of Technical Papers of 1997, International Conference on Solid State Sensors and Actuators, 1:323-326
    24 S. C. Gusta, et al., Micro actuated mirrors for beam steering. Proc. of SPIE, 1997, 3008:91-99
    25 Chiou, J.C., Lin, Y.C, .Micromirror device with tilt and piston motions,Proc, of SPIE,1999, 3893:298-303
    26 Hicks, R.A., Nasis, V.T.; Kurzweg, T.P., Micromirror array theory for imaging sensors,Proc. of SPIE, 2005,5721(1):182-9
    27 Bakke, T.,Volker, B., Friedrichs, M., et al., Micromirror array of monoerystalline silicon,2006 1EEE/LEOS International Conference on Optical MEMs and Their Applications (IEEE Cat. No. 06EX1228), 2006, p2
    28 Lakner, H.,Durr, P.; Dauderstaedt, U.et al., Design and fabrication of micromirror arrays for UV lithography, Proc. of SPIE, 2001, 4561:255-64
    29 Yijian Chen, Chi Hui Chu, Shroff, Y., et al., Design and fabrication of tilting and piston micromirrors for maskless lithography, Proc. of SPIE, 2005, 5751 (1): 1023-37
    30 Harald Sehenk, Michael Wagner, Thor Bakke, Building better micromirror arrays for laser mask writers, Proc. of SPIE, 2006, 1117(1):146-7
    31 蒋军彪,韩宗虎,微光学电子机械系统中的微型反射镜阵列的应用前景,激光与光电子学进展,2001,4:40-45
    32 梁静秋,微光机电器件及其关键技术研究,博士毕业论文,2003.7
    33 伍艺,黄尚廉,张洁等,反射面平动式光栅光调制器的实现及机电特性分析,中国机械工程2005,16(14):1251-1262
    34 闫许,基于MEMS光栅平动式光凋制器结构参数分析与实验,硕七毕业论文,2006.5
    35 Hornbeck L.J., The DMD~TM projection display chip: a MEMS-based technology, MRS Bulletin, 2001, 26(4):325-7
    36 Hombeck L.J., "Digital Light Processing~TM for high-brightness, high-resolution applications", Proc. of SPIE, 1997, 3013:27-40
    37 L.J. Hornbeck, "Digital Light Processing for High-Brightness, High- Resolution Applications," Electronic lmaging, EI '97, 1997, p1-14
    38 L.J. Hornbeck, "Current Status of the Digital Micromirror Device (DMD) for Projection Television Applications (Invited Paper)," International Electron Devices Technical Digest,1993, pp381-384
    39 邹静娴,吴荣治,数字微镜器件.液晶与显示,2003,18(6):445-449
    40 Michalicek, M. Adrian, Bright, Victor M., Flip-chip fabrication of advanced MEMS using a novel latching off-chip hinge mechanism, ASME International Mechanical Engineering Congress and Exposition, Proceedings, 2001, 2:2631-38
    41 Milanovic, V., Matus, G.A.; McCormick, D.T., Gimbal-less monolithic silicon actuators for tip-tilt-piston mieromirror applications, IEEE Journal of Selected Topics in Quantum Electronics, 2004, 10(3):462-71
    42 Hubert L., Wolfgang D., Peter D., et al., Micromirrors for direct writing systems and scanners, Proc. of SPIE, 1999, 3878:217-27
    43 Lopez, D., Aksyuk, V., Watson, G.,et al., Two dimensional MEMS piston array for DUV optical pattern generation, 2006 IEEE/LEOS International Conference on Optical MEMs and Their Applications (IEEE Cat. No. 06EX1228), 2006, p2
    44 Cowan, W.D., Bright, V.M., Lee, M.K., Design and testing of polysilicon surface-micromachined piston micromirror arrays, Proc. of SPIE, 1998, 3292:60-70
    45 Michalicek, M.A., Bright, V.M., Flip-chip fabrication of advanced micromirror arrays,Proceedings of the IEEE Micro Electro Mechanical Systems (MEMS) , 2001, p313-316
    46 Hongjun Zeng, Feinerman, A.D.; Zhiliang Wan;et al., Piston-motion micromirror based on electro,wetting of liquid metals, Journal of Microelectro-mechanical Systems, 2005, 14(2):285-94
    47 Kevin J. Kearneyt, Zoran Ninkov, Characterization of a digital micromirror device for use as an optical mask in imaging and spectroscopy, Proc. of SPIE, 1998, 3292:81-92
    48 T. Sandstrom, J. Backlund, N. Eriksson, J. Bengtsson., "SLM lithography: how well can a stepper without masks and one with masks be matched?", The International Society for Optical Engineering, (Optical Microlithography Conference, Santa Clara, CA, USA, 2003.
    1 J.B. Sampsell, An Overview of Texas Instruments Digital Micromirror Device (DMD) and Its Application to Projection Displays, Society for Information Display Internatl. Symposium Digest of Tech. Papers, 1993, 24:1012-1015
    2 Paufler, J., Brunn, St.,Korner, T., et al., Continuous Image Writer with improved critical dimension performance for high-accuracy maskless optical patterning, Microelectronic Engineering, 2001, 57-58:31-40
    3 Yashesh, Shroff, Yijian Chen, W. G. Oldham, Optical analysis of mirror based pattern generation, Proc. of SPIE, 2003, 5037:550-9
    4 Alfred Wong, Resolution enhancement technique in optical lithography, Proc. of SPIE Press, 2000, P80
    5 Yashesh A. S., Yijian C., William G. O., et al., Image optimization for maskless lithography,Proc. of SPIE , 2004, 5374:637-47
    6 Ebo Croffiela, Nick Elba, Neal Cailana, Application of Rigorous Electromagnetic Simulation to SLM-based Maskless Lithography for 65nm Node, Proc. of SPIE, 2003,5256:842-850
    7 Ulric Ljungblad, Hans Martinsson, Torbjom Sandstrom, Phase shifted addressing using a spatial light modulator, Microelectronic Engineering, 2005, 78-79:398-403
    8 J.F, Chen, T.Laidig, K.E.Wampler et al., Practical method for full-chip optical proximity correction, Proc of SPIE, 1997,3.51:790-803
    9 杜惊雷,光学光刻中的邻近效应校正研究,四川大学博士论文,1998
    10 Fienup, J. R. , Phase retrieval algorithms: a comparison, Applied Optics, 1982,21(15):2758-69
    11 Nicholas Metropolis, Arianna W. Rosenbluth, Marshall N. Rosenbluth, et al. Equation of state calculations by fast computing machines, J Chem. Phys., 1953, 21(6):1087-1092
    12 William H. Press, Saul A. Teukolsky, William T. Vetterling, et al, Numerical Recipes in C: The Art of Scientific Computing Second Edition, CAMBRIDGE UNIVERSITY PRESS,
    1 Kin Foong Chan, Zhiqiang Feng, Ren Yang, et al. Microsyst., High-resolution maskless lithography, J.Microlith., microfab., 2003, 2(4): 331-338
    2 Ren Yang, Kin Foong Chart, Zhiqiang Feng, Design and Fabrication of Microlens and Spatial Filter Array by self-Alignment, Proc. of SPIE, 2003, 4985:26-35.
    3 W. Mei, T. Kanatake, A. Ishikawa, Moving exposure system and method for maskless lithography system, " U.S. Patent No. 6, 379, 867B1(2002)
    4 Kentaro Totsu, Kenta Fujishiro, Shuji Tanaka, Gray-scale lithography using maskless exposure system, The 13th international conference on Solid-state sensors, Actuators and Microsystems, Seoul, Korea, June5-9, 2005, P1441-1444
    5 段茜,数字光刻及其制作微光学元件的模拟研究,四川大学硕士论文,2006.5
    6 JutamuliaS, ToyodaS J, and Ichihashi Y C, Removal of pixel structure in liquid crystal projection display, Proc. of SPIE, 1995, 2047:168-176
    7 Eugene Dolgoff, New optical designs for large screen, 2-and 3-dimension video projection with enhanced screen brightness and no visible pixel or line structure, Proc. of SPIE, 1995,2047:104-118
    8 郭小伟,杜惊雷,陈铭勇,杜春雷,优化成像系统参数去除数字光刻像素栅格,光子学报,2007,36(3):79-84
    9 郭小伟,杜惊雷,罗铂靓,郭永康,杜春雷,基于数字微反射镜灰度光刻的成像模型,光子学报,2006.9,V9:123-7
    10 郭小伟,杜惊雷,马延琴,陈铭勇,杜春雷,用灰度曝光技术改善数字光刻图形轮廓,光电工程,2006.11,V33:31-35
    11 康立山,谢云,尤矢勇,等,非数值并行算法——模拟退火算法,科学出版社,北京,1998
    12 唐雄贵,厚胶光学光刻技术的研究,四川大学博士论文,2006年
    13 杜惊雷 黄晓阳 黄奇忠等,基于光场分布的光学邻近效应校正,微细加工技术,1998,1:7-9
    14 T.A. Shankoff, Phase holograms in dichromated gelatin, Appl. Opt, 1968, 7(10): 2101
    15 T.A. Shankoff, Relief holograms in dichromated gelatin, ,Appl. Phys.Lett., 1968, 13(7):239
    16 Toshihiro Kubota, Teruji Ose, Lippmann color holograms recorded in methylene-bluesensitized dichromated gelatin, Opt. Lett, 1979, 4(9): 289
    17 D. J. McCartney, D. B. Payne, S. S. Duncan, Position-tunable holographic filters in dichromated gelatin for use in single-mode-fiber demultiplexers, Opt. Lett, 1985, 10(6): 303
    18 B. Robertson, M. R. Taghizadeh, J. Turunen, A. Vasara, High-efficiency, wide-bandwidth optical fanout elements in dichromated gelatin, Opt. Lett, 1990, 15(12): 694
    19 Dietrich Meyerhofer, Spatial resolution of relief holograms in dichromated gelatin, Appl.Opt, 1971, 10(2):416
    20 T.Ahlhom, K.Gnadin, H.Kreye. Proc, SUN/Fin'93, Anaheim USA: 623 (1993)
    21 J.y Tang, L.R.Guo, et al, Enzyme etching method for making high resolution and deep relief image on the prehardened gelatin, Proc. of SPIE, 1996, 2689:225
    22 Jun Yao, Fuhua Gao, Feng Gao, Yixiao Zhang, Jingqin Su, Yongkang Guo. Refractive Microlens Array Made of Dichromate Gelatin Etched by Enzyme with Coding Gray-tone Mask Method. Microelectron. Eng., 2001, 23:531-4
    23 A.Fimia, A. Belendez, I.Pascual, Silver halide gelatin in Agfa-Gevaert plates: the optimized procedure, J. Mod. Opt, 1991, 38:2043
    24 E.S. Simova, M. Kavehrad, Silver halide sensitized gelatin derived from Agfa-Gevaert holographic plates, Appl. Opt, 1994, 33(10): 1875W. R. Graver, Phase holograms formed by silver halide(sensitized) gelatin processing. Appl. Opt., 1980, 19(9): 1529
    25 W. R. Graver, Phase holograms formed by silver halide(sensitized) gelatin processing.Appl. Opt., 1980, 19(9): 1529
    26 A.Fimia, A.Belendez, I.Pascual, Silver halide gelatin in Agfa-Gevaert plates: the optimized procedure, J.Mod.Opt, 1991, 38:2043
    27 K.Herman, O.Gerngross, Physik. Chem. B, 1930, 10:371
    28 A.Fimia, A. Belendez, I.Pascual, Influence of R-10 bleaching on latent image formation in silver halide sensitized gelatin, Appl. Opt, 1992, 31:3203
    29 A.Fimia, A. Belendez, I.Pascual, Optimized spatial frequency response in silver halide sensitized gelatin, Appl. Opt, 1992, 31: 4625-7
    30 Pang Lin, Zhu Jianhua, Bo Chen, Lurong Guo. Improvement on spatial resolution of relief holograms in dichromated gelatin. Proc of SPIE, 1998, 3559:60-64
    31 Xiaowei Guo, Mingyong Chen, Jianhua Zhu, et al., Refractive Microlensarray Made of Silver-Halide Sensitized Gelatin (SHSG) Etched by Enzyme with SLM-Based Lithography,Prof. of SPIE, 2006, 6032: 60320k
    32 彭钦军,液晶实时掩模光刻技术的研究,四川大学硕士论文,2003年
    33 唐继跃,许平,陈波等,预硬化明胶酶蚀成像特性的研究,光学学报,1997,17(2):216-221
    1 Ritchite R. H., Plasma losses by fast electrons in thin films, Phys. Rev., 1956, 106:864-881
    2 William L. Barnes, Alain Dereux, Thomas W. Ebbesen, Surface plasmon subwavelength optics, Nature (London), 2003, 424:824-30
    3 邱国斌、蔡定平,金属表面电浆简介,物理双月刊(台湾),2006,28(2):462-485
    4 Weeber I.C., et al., Observation of light confinement effects with a near-fieldoptical microscope, Phys. Rev. Lett. 1996, 66:5332-5
    5 Devanx E., et al., Local detection of the optical magnetic field in the near zone of dielectric samples, Phys. Rev. B., 2000, 62:10504-14
    6 Anatoly V. Zayatsa, Jill Elliott, Igor I. Smolyaninov, et al., Imaging with short-wavelength surface plasmon polaritons, Appl. Phys. Lett., 2005, 86:151114-1-3
    7 Cregan R.E, et al., Single-mode photonic band gap guidance of light in air, Science, 1999,285:1536-9
    8 S.C. Kitson, W.L. Barnes, J.R. Sambles, A full photonic band gap for surface modes in visible, Phys. Rev. Lett., 1996, 66:2660-3
    9 Barnes W.L., Preist T. W. Kitson S.C. , Physical origin of photonics energy gaps in the propagation of surface plasmons on gratings, Phys. Rev. B., 1996, 54:6226-44
    10 L. Martin-Moreno, 1 F. J. Garcia-Vidal, 2 H. J. Lezec, Theory of Highly Directional Emission from a Single Subwavelength Aperture Surrounded by Surface Corrugations, Phys. Rev. Lett., 2003, 90(16): 166401-1-4
    11 T. W. Ebbesen, H.J. Lezec, H.F. Ghaemi, T. Thio, and P. A. Wolff, Extraordinary optical transmission through sub-wavelength hole arrays, Nature (London), 1999,391:666-669
    12 W. L. Barnes, W. A. Murray, J. Dintinger, et al., Surface Plasmon Polaritons and Their Role in the Enhanced Transmission of Light through Periodic Arrays of Subwavelength Holes in a Metal Film, Phys. Rev. Lett., 2004, 92(10): 106401-1-4
    13 Hua Cao, Amit Agrawal, Ajay Nahata, Controlling the transmission resonance lineshape of a single subwavelength aperture, OPTICS EXPRESS, 2005, 13(3): 663-9
    14 F. J. Garcia-Vidala, L. Martin-Moreno, H. J. Lezec, et al., Focusing light with a single subwavelength aperture flanked by surface corrugations, Appl. Phys. Lett., 2003,83(22):4500-2
    15 A.B. Evlyukhin, S.I. Bozhevolnyi, A.L. Stepanov, Splitting of a surface plasmon polariton beam by chains ofnanoparticles, Appl. Phys. B, 2006, 2163:8
    16 Jean-Francois Masson, Kayla Hamersky, Stephen Beaudoin, In vitro biochemical monitoring with fiber optic based surface plasmon resonance sensors, Proc of SPIE, 2004,5261:123-134
    17 X.G Luo and T. Ishihara, Surface plasmon resonant interference nanolithography technique, Appl. Phys. Lett., 2004, 84:4680-2
    18 D. B. Shao, S.C. Chert, Surface-plasmon-assisted nanoscale photolithography by polarized light, Appl. Phys. Lett., 2005, 86:253106-1-3
    19 Westphalen M., Kreibig U., Rostalski J., et al., Metal cluster enhanced organic solar cells,Sol. Energy Mat. Sol. Cells, 2000, 61:96-105
    20 Tredicucci A., et al., Single-mode surface-plasmon laser, Appl. Phys. Lett., 2000,66:2164-6
    21 Quail J.C., Simon H.J., Second-harmonic generation with phase-matched long-range and short range surface plasmons, J. Appl. Phys., 1984, 56:2589-91
    22 Tsang T.Y., Surface-plasmon-enhanced third-harmonic generation in thin silver films, Opt.Lett., 1996, 21:245-6
    23 Sergey I. Bozhevolny, Valentyn S. Volkov, John Erland, et al., Surface plasmon polariton band gap structures: implications to integrated plasmonic circuits, Symposium on Quantum Information Science Ⅲ, 2001, 161
    24 H. Raether, Surface plasmon on smooth and rough surfaces and on gratings (Spring-Verlag, Berlin, 1988)
    25 Hyesog Lee, Yi Xiong, Nicholas Fang, et al., Optical Silver Superlens Imaging Below the Diffraction Limit, Mater. Res. Soc. Symp. Proc., 2006, 919:J04-01-7
    26 R. W. Wood, On a remarkable case of uneven distribution of light in a diffraction grating spectrum, Philos. Mag., 1902, 4:396-402
    27 U. Fano, The theory of anomalous diffraction gratings and of quasi-stationary waves on metallic surfaces (Sommerfeld's waves), J. Opt. Soc. Am., 1941, 31:213-22
    28 Kretschmann, E., The angular dependence and the polarisation of light emitted by surface plasmons on metals due to roughness, Optics Communications, 1962, 5(5):331-6
    29 Hecht, B., Bielefeldt, H., Novotny, L., et al., Local excitation, scattering, and interference of surface plasmons, Phys. Rev. Lett., 1996, 66(9):1889-92
    30 M.M. Alkaisi, R.J. Blalkie, S.J. McNab, 60nm features on 140nm period using evanescent near field optical lithography, Microelectron. Eng., 2000, 53:236-240
    31 M. M. Alkaisi, R.J. Blalkie, S.J. McNab, R. Cheung, D.R.S. Cummingb,Sub-diffraction-limited patterning using evanescent near-field optical lithography, Appl.Phys. Lett., 1999, 65:3560
    32 J. p. Berenger, A perfectly matched layer for the absorption of electromagnetic waves, J.Comput. Phys. 1994, 114:185-200
    33 葛德彪,闫玉波,电磁波时域有限差分方法(第二版),西安电子科技大学出版社,2005
    34 Pieter G. Kik, Andrea L. Martin, Stefan A. Maier, et al., Metal nanoparticle arrays for near field optical lithography, Proc of SPIE, 2004, 5261:123-134
    35 W. Srituravanich, N. Fang, X. Zhang, et al., Surface Plasmonic Lithography, Nano Lett.2004, 4:1085
    36 X.G Luo, T. Ishihara, Surface plasmon resonant interference nanolithography technique,Appl. Phys. Lett., 2004, 84:4680-4682
    37 Xiangang Luo, Teruya Ishihara., Subwavelength photolithography based on surfaee-plasmon polariton resonance, OPTICS EXPRESS , 12(14):3055-65
    38 D. B. Shao, S. C. Chen, Surface-plasmon-assisted nanoscale photolithography by polarized light, Appl. Phys. Lett. 2005, 86:253106
    39 Z. W. Liu, Q.H. Wei, and X. Zhang, "Surface plasmon interference nanolithography", Nano Lett., 2005, 5:956
    40 Xiaojin Jiao, Pei Wang, Douguo Zhang, Numerical simulation of nanolitho- graphy with the subwavelength metallic grating waveguide structure, Optics Express, 2006, 14(11):4850-60 Z. W. Liu, Q.H. Wei, and X. Zhang, "Surface plasmon interference nanolithography", Nano Lett., 2005, 5:956
    41 何启浩,汪国平,一维金属光栅的透射光增强效应的物理机制,激光杂志,2003,24(4):29-30
    42 谈春雷,易永祥,汪国平,一维金属光栅的透射光学特性,物理学报,2002,51(5):1063-6
    43 Xiaowei Guo, Jinglei Du, Yongkang Guo, Surface-plasmon polariton interference nanolithography based on end-fire coupling, Microelectronic Engineering, 2006, 5285
    44 Xiaowei Guo, Jinglei Du, YongkangGuo, et al., Large-areasurface-plasmon polariton interference lithography, Optics Letters, 2006, 31(16):2613-15
    45 Shubert R., Harris J H., Optical surface waves on thin films and their application to intergrated data processors, IEEE Transactions on Microwave Theory Technology, 1981, 39(12):943-945
    46 p. B. Johnson, R.W. Christy, optical constants for the noble metals, Phys. Rev. B, 1962, 6:4360
    47 Xiaowei Guo, Jinglei Du, Xiangang Luo, Chunlei Du, Yongkang Guo, Nanolithography based on resonance transmission through deep subwavelength metal structure (submitted)
    48 H.A. Beth, Theory of diffraction by small holes, The Physical Review , 2005, 66:163-182
    49 D.E. Grupp, H.J. Lezec, T.W. Ebbesen, et al., Crucial role of metal surface in enhanced transmission through subwavelength apertures, Appl. Phys. Lett., 2000, 66:1569-1561
    50 Qing Cao, Philippe Lalance, Negative role of surface plasmons in the transmission of metallic grating with very narrow slits, Phys. Rev. Lett., 2002, 88:056403-1-4
    51 H.A. Beth, Theory of diffraction by small holes, The Physical Review, 2005, 66:163-182
    52 A. Degiron, H.J. Lezec, N. Yamamoto, et al., Optical transmission properties of a single subwavelength aperture in a real metal, Optics Communications, 2004, 239:61-66
    53 L. Martin-Moreno, F.J. Garcia-Vidal, H.J. Lezec, et al., Theory of extraordinary optical transmission through subwavelength hole arrays, Phys. Rev. Lett., 2001, 86(6):1114-6
    54 T.Lopez-Rios, D. Mendoza, F.J. Garcia-Vidal, J. Sanchez-Dehesa, and B. Pannetier, Surface Shape Resonances in Lamellar Metallic Gratings, Phys. Rev. Lett., 1998, 665-8
    55 E. Popov, M. Neviere, S. Enoch, R. Reinisch, Theory of light transmission through subwavelength periodic hole arrays, Phys. Rev. Lett., 2000, 62, 16101-16108
    56 J.A. Porto, F.J. Garcia-Vidal, J.B. Pendry, Transmission resonances on metallic gratings with very narrow slits, Phys. Rev. Lett., 1999, 83:2845-8
    57 Xiaowei Guo, Jinglei Du, Xiangang Luo, Chunlei Du, Yongkang Guo, Nanolithography based on resonance transmission through deep subwavelength metal structure (submitted)
    58 y. Takakura, Optical Resonance in a Narrow Slit in a Thick Metallic Screen, Phys. Rev. Lett., 2001, 86:5601-3
    59 Astilean, S., Lalanne, P., Palamaru, M., Light transmission through metallic channels much smaller than the wavelength, Optics Communications, 2000, 165(4-6): 265-63

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700