片上网络拥塞控制技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
片上网络(Network-on-Chip,NoC)是解决复杂系统芯片通信问题的重要手段,拥塞直接影响NoC的通信性能。但受芯片面积、功耗和硬件资源等因素的限制,计算机网络中相对成熟的拥塞控制策略难以直接应用于NoC领域。当前NoC拥塞控制主要集中在结构改进、路由算法设计和负载管控等方面,但没有将结构和算法研究有机结合起来,在设计路由算法时单方面追求高性能,带来算法复杂度较高、硬件开销较大等问题。
     本文在深入分析现有NoC拥塞控制方法的基础上,分别从负载均衡和负载管控的角度对拥塞控制技术进行了研究,提出了一种局部互连结构调整和路由算法改进相结合的拥塞控制方法,以及两种基于负载管控的拥塞控制策略。另外,为进一步提升拥塞控制的效果,降低系统缓存需求,设计了一种基于数据流向的动态缓存分配机制。本文的具体研究工作如下:
     1、提出一种局部互连结构调整和路由算法改进相结合的拥塞控制方法。对NoC网络接口结构进行改进,通过增加多路复用器,使大通信量模块与邻近的多个路由器相连,降低单个路由器发生拥塞的概率。然后,针对调整后的NoC结构,设计了一种基于区域的XY-YX路由算法,根据源节点和目的节点的相对位置,选择对应方位的路由器,采用XY或YX路由算法确定数据传输路径,提高片上负载的均衡性。仿真结果表明该方法可以在硬件开销增加较小的情况下,有效降低数据包的平均传输延时,增大系统吞吐率。
     2、提出两种基于负载管控的拥塞控制机制。首先,设计了一种端到端Credit-based负载管控方案,以控制每次发送到网络中的数据量;然后,提出一种具有加权比例公平性的数据注入率控制算法,将节点间的通信概率作为加权因子,并引入具有比例公平性的效用函数,将源端数据注入率控制问题转化为目的节点缓存约束下的优化问题,通过拉格朗日乘数法求解最值得到优化的数据注入率。仿真结果表明,所提方法可以有效的控制网络中的负载量和瞬时负载强度。
     3、提出一种基于数据流向的动态缓存分配机制。该方法根据传输方向,将输入端口接收到的数据分成不同的“组”,发往不同输出端口,并将数据以“组”的形式进行存储。控制逻辑根据各个“组”的数据规模为其动态分配缓存资源,并通过可用缓存容量监测拥塞状态。拥塞控制直接针对具体端口,提高了控制的精确性。仿真结果表明,该方法在同等性能条件下可以有效降低系统的缓存需求。
Network-on-Chip (NoC) represents a scalable solution to on-chip communication of complex SoC, but congestion severely affects the overall performance of NoC. Due to the constraints of area, power consumption and hardware resources, those effective congestion control techniques used in computer network are hardly implemented in NoC. At present, the congestion control methods in NoC mainly resort to structure improvement, routing algorithm design and load regulation. However, there is a lack of integrated consideration with network structures alteration and routing algorithms improvement, and researchers are usually absorbed in performance improvement while ignore hardware overhead, which lead to issues of great complexity and high hardware overhead.
     Based on the analysis of existing congestion control mechanism in NoC, this dissertation presents a method combining alteration of local interconnection and improvement of routing algorithm for load balance, and a mechanism to regulate load. Furthermore, in order to improve congestion control effect and reduce the demands of buffer, a dynamic buffer allocation scheme based on data flow direction is put forward.
     Main work and contributions of this dissertation are outlined as follows:
     1. A method combining alteration of local interconnection and improvement of routing algorithm is proposed to alleviate congestion in NoC. By adjusting the structure of network interface, the communication hot-spot could connect to multiple adjacent routers with multiplexer, thus reducing the probability of congestion resulted from excessive traffic. Thereafter, a region-based XY-YX routing algorithm is presented for the adjusted NoC topology. According to the relative position between source node and destination node, this algorithm chooses the router in corresponding orientation, and adopts XY or YX routing algorithm to determine the data transmission path. The simulation results show that this method not only effectively reduces the average packet transmission delay and increases network throughput, but also makes a better trade-off between performance and hardware cost.
     2. Two methods based on regulation of traffic are presented for congestion control in NoC. The first string applies an end-to-end Credit-based policy to control the amount of data sent to network each time. In addition, an injection rate control algorithm with weighted proportional fairness is proposed, which uses the communication probability between nodes as weighted factor, and introduces a utility function with proportional fairness. Thus, the injection rate control is transformed into constrained optimization under buffer of destination node router, and the optimized injection rate could be gained by Lagrange Multiplier method. The simulation results show that these methods can effectively control network load and instantaneous load strength.
     3. A novel dynamic buffer allocation scheme based on data flow direction is proposed. In this mechanism, the concept of "group" is put forward and "group" corresponds to one and only output port. The data sent to different output ports are stored in the form of "group", and the control logic dynamic allocates buffer resources according to the data size in various "group". By monitoring the available buffering capacity, congestion control directly target specific port and the accuracy of control improve. The simulation results show that this method can effectively reduces demands of buffer resources as well as gain similar performance.
引文
[1] Hemani A, Jantsch A, Kumar S. Network on chip: An architecture for billion transistor era[A]. In: Proceeding of the IEEE NorChip Conference[C], Turku, Finland, 2000: 166-173.
    [2] Dally W, Towles B. Route Packets, Not Wires: On-Chip Interconnection Networks[A]. In: Proceeding of the 38th Design Automation Conference[C], Las Vegas, USA, 2001: 684-689.
    [3] Dally W, Towles B. Principles and Practices of Interconnection Networks[M]. San Francisco: Morgan Kaufmann Publishers, 2004.
    [4] Jerger N, Peh L. On-Chip Networks[M]. Madison: Mark Hill Publishers, 2009.
    [5] Gebali F, Elmiligi H, El-Kharashi W. Network on chips: theory and Practice[M]. Boca Raton/London/New York: Taylor & Francis Group, 2009.
    [6] Salminen E, Kulmala A, Timo D. Survey of Network-on-chip Proposals[R]. OCP-IP WHITE PAPER, 2008.
    [7] Radulescu A, Goossens K. Communication Services for Networks on Chip[A]. In: Shuvra S, Deprettere F, Teich J. Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation[M]. New York: Marcel Dekker, 2004: 193-213.
    [8] Kumar S, Jantsch A, Juha-Pekka S, et al. A Network on Chip Architecture and Design Methodology[A]. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI[C], Pittsburgh, USA, 2002: 105-112.
    [9] Michelogiannakis G, Sanchez D, Dally W, et al. Evaluating Bufferless Flow Control for On-Chip Networks[A]. In: Proceedings of the 4th International Symposium on Networks-on-Chip[C], Grenoble, France, 2010: 9-16.
    [10] Floyd S, Fall K. Promoting the Use of End-to-End Congestion Control in the Internet[J], IEEE/ACM Transactions on Networking, 1999, 7(5): 458-472.
    [11] Ramakrishnan K, Floyd S, Black D. The Addition of Explicit Congestion Notication (ECN) to IP[S]. RFC 3168, 2001.
    [12] Jacobson V. Congestion Avoidance and Control[J]. ACM Computer Communication Review, 1988, 18(4): 314-329.
    [13]王彬.TCP/IP网络拥塞控制策略研究[D].杭州:浙江大学,2004.
    [14]刘拥民.下一代Internet拥塞控制策略研究[D].长沙:中南大学,2009.
    [15] Borkar S. Thousand core chips: a technology perspective[A]. In: Proceeding of the 44th annual Design Automation Conference[C], San Diego, USA, 2007: 746-749.
    [16] TILERA. TILE-GXTM Processor Family Product Brief[EB/OL].: TILERA公司网站, 2010.
    [17] Ogras U, Jingcao Hu, Marculescu R. Key Research Problems in NoC Design-A Holistic Perspective[A]. In: Proceedings of the 3th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis[C], Jersey City, USA, 2005: 69-74.
    [18] Marescaux T, Rangevall A, Nollet V, et al. Distributed Congestion Control for PacketSwitched Networks on Chip[A]. In: Proceedings of the International Conference ParCo 2005[C], Málaga, Spain, 2006: 761-768.
    [19] Ogras U, Marculescu R. Prediction-based Flow Control for Network on Chip Traffic[A]. In: Proceeding of the 38th Design Automation Conference[C], San Francisco, USA, 2006: 839-844.
    [20] Brand J, Ciordas C, Goossens K, et al. Congestion-Controlled Best-Effort Communication for Networks-on-Chip[A]. In: Proceedings of the conference on Design, automation and test in Europe[C], Nice, France, 2007: 1-6.
    [21] Talebi M, Khonsari A. On the Stability of Best Effort Flow Control Mechanisms in On-Chip Architectures[A]. In: Proceedings of the conference on Modeling, Analysis & Simulation of Computer and Telecommunication Systems[C], Baltimore, USA, 2009: 1-8.
    [22] Talebi M, Jafari F, Khonsari A, et al. Proportionally-Fair Best Effort Flow Control in Network-on-Chip Architectures[A]. In: Proceedings of the International Symposium on Parallel and Distributed Processing[C], Miami, USA, 2008: 1-8.
    [23] Jafari F, Talebi M, Khonsari A, et al. A Novel Congestion Control Scheme for Elastic Flows in Network-on-Chip Based on Sum-Rate Optimization[A]. In: Proceedings of the international conference on Computational science and applications[C], San Francisco, USA, 2007: 191-196.
    [24] Mo J, Walrand J. Fair end-to-end window based congestion control[J]. Journal IEEE/ACM Transactions on Networking, 2000, 8(5): 556-567.
    [25] Walter I, Cidon I, Ginosar R, et al. Access Regulation To Hot-Modules In Wormhole NoCs[A]. In: Proceedings of the First International Symposium on Networks-on-Chip [C], Princeton, USA, 2007: 137-148.
    [26] Jingcao Hu, Marculescu R. DyAD-Smart Routing for Networks-on-Chip[A]. In: Proceeding of the 41th annual Design Automation Conference[C], San Diego, USA, 2004: 260-263.
    [27] Gratz P, Grot B, Keckler S. Regional Congestion Awareness for Load Balance in Networks-on-Chip[A]. In: Proceedings of the 14th IEEE Symposium on High-Performance Computer Architecture[C], Salt Lake City, USA, 2008: 203-214.
    [28] Tedesco L, Clermidy F, Moraes F. A path-load based adaptive routing algorithm for networks-on-chip[A]. In: Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design[C], Natal, Brazil, 2009: 567-573.
    [29] Lotfi-Kamran P, Daneshtalab M, Lucas C, et al. BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs[A]. In: Proceedings of the conference on Design, automation and test in Europe[C], Munich, Germany, 2008: 1408-1403.
    [30] Murali S, Atienza D, Benini L, et al. A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees[J]. VLSI Design, 2007: 41-52.
    [31] Li-Shiuan Peh, Dally W. A Delay Model and Speculative Architecture for Pipelined Routers[A]. In: Proceedings of the 7th International Symposium on High-Performance Computer Architecture[C], Monterrey, Mexico, 2001: 255-266.
    [32] Kodi A, Sarathy A, Louri A. Adaptive Channel Buffers in On-Chip Interconnection Networks-A Power and Performance Analysis[J]. IEEE Transactions on Computers, 2008, 57(9): 1169-1181.
    [33] Jingcao Hu, Marculescu R. Application-Specic Buffer Space Allocation for Networks-on-Chip Router Design[A]. In: Proceedings of the International Conference on Computer Aided Design[C], Krakow, Poland, 2004: 354-361.
    [34] Nicopoulos C.A, Park D, Kim J, et al. ViChaR-A Dynamic Virtual Channel Regulator for Network-on-Chip Routers[A]. In: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture[C], Orlando, USA, 2006: 333-346.
    [35] Kodi A, Sarathy A, Louri A. Design of Adaptive Communication Channel Buffers for Low-Power Area-Efficient Network-on-Chip Architecture[A]. In: Proceedings of the 3rd ACM/IEEE Symposium on Architecture for networking and communications systems[C], Orlando, USA, 2007: 47-56.
    [36] Concer N, Petracca M, Carloni L. Distributed Flit-Buffer Flow Control for Networks-on-Chip[A]. In: Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis[C]. Atlanta, USA, 2008: 215-220.
    [37] Jabraeil M, Khademzadeh A. DAMQ-Based Schemes for Efficiently Using the Buffer Spaces of a NoC Router[J]. International Journal of Computer Science Issues, 2009, 4(2): 36-41.
    [38] Jabraeil M, Khademzadeh A. Improving the Performance of Interconnection Networks Using DAMQ Buffer Schemes[J]. International Journal of Computer Science and Network Security, 2009, 9(7): 7-13.
    [39] Shim K. Static and dynamic virtual channel allocation for high performance in order communication in on-chip networks[D]. Massachusetts: Massachusetts Instiute of Technology, 2010: 19-37.
    [40] Daneshtalab M, Pedram A, Afzali-Kusha A, et al. A new fair dynamic routing algorithm for avoiding hot spot in NoC[A]. In: Proceedings of the International Symposium on Communications and Information Technologies[C], Bangkok, Thailand, 2006: 237-241.
    [41] Sobhani A, Daneshtalab M, Neishaburi M, et al. Dynamic routing algorithm for avoiding hot spots in on-chip networks[A]. In: Proceedings of the International Conference on Design and Test of Integrated Systems in Nanoscale Technology[C]. La Marsa, Tunisia, 2006: 179-183.
    [42] Daneshtalab M, Sobhani A, Afzali-Kusha A, et al. NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm[A]. In: Proceedings of the IEEE 17th International Conference on Application-specific Systems, Architectures and Processors [C], Steamboat Springs, USA, 2006: 33-38.
    [43] Daneshtalab M, Afzali-Kusha A, Mohammadi S. Minimizing hot spot in NoCs through a dynamic routing algorithm based on input and output selections[A]. In: Proceedings of the International Symposium on System-on-Chip[C], Tampere, Finland, 2006: 1-4.
    [44] Agarwal A, Ralon B. Survey of Network on Chip(NoC)Architecture & Contributions[J].Journal of Engineering, Computing and Architecture, 2009, 3(1): 51-53.
    [45] Ramanujam R, Lin B. Weighted Random Routing on Torus Networks[J]. IEEE Computer Architecture Letters, 2009, 8(1): 187-196.
    [46] Samman F, Hollstein T, Glesner M. Wormhole cut-through switching: Flit-level messages interleaving for virtual-channelless network-on-chip[J]. Microprocessors & Microsystems, 2011, 35(3): 153-159.
    [47] Felicijan T, Furber S. An asynchronous on-chip network router with quality-of-service(QoS) support[A]. In: Proceedings of the IEEE International SoC Design Conference[C], Seoul, Korea, 2004: 274-277.
    [48] Koibuchi M, Anjo K. A simple data transfer technique using local address for networks-on-chips[J]. IEEE Transactions on Parallel and Distributed Systems, 2006, 17(12): 1425-1437.
    [49] Liu J, Zheng L,Tenhunen H. A guaranteed-throughput switch for network-on-chip[A]. In: Proceedings of the IEEE International SoC Design Conference[C], California, USA, 2003: 31-34.
    [50] Pullini A, Angiolini F, Bertozzi D. Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes[A]. In: Proceedings of the 18th annual symposium on Integrated circuits and system design[C], Florianopolis, Brazil, 2005: 224-229.
    [51] Tamhankar R, Murali S, Micheli G. Performance driven reliable link for networks on chip[A]. In: Proceedings of the Asia and South Pacific Design Automation Conference[C], Shanghai, China, 2005: 749-754.
    [52] Murali S, Tamhankar R, Angiolini F, et al. Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips[A]. In: Proceedings of the IEEE International SoC Design Conference[C], Tampere, Finland, 2006: 1-4.
    [53] Zeferino C, Susin A. SoCIN: A parametric and scalable network-on-chip[A]. In: Proceedings of the 16th Symposium on Integrated Circuits and Systems Design[C], Sao Paulo, Brazil, 2003: 169-174.
    [54] Li-Shiuan Peh, Dally W. Flit-Reservation Flow Control[A]. In: Proceedings of the 6th International Symposium on High-Performance Computer Architecture[C], Toulouse, France, 2000: 73-84.
    [55] Mandal S, Denton R, Mohanty S, et al. Low Power Nanoscale Buffer Management for Network on Chip Routers[A]. In: Proceedings of the 20th symposium on Great lakes symposium on VLSI[C], Rhode Island, USA, 2010: 245-250.
    [56] Ye T, Benini L, Micheli G. Analysis of power consumption on switch fabrics in network routers[A]. In: Proceedings of the 39th annual Design Automation Conference[C], New Orleans, USA, 2002: 524-529.
    [57] Hangsheng W, Li-Shiuan Peh, Malik S. Power-driven design of router microarchitectures in on-chip networks[A]. In: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture[C], San Diego , USA, 2003: 105-116.
    [58] Varatkar G, Marculescu R. Traffic analysis for on-chip networks design of multimedia applications[A]. In: Proceedings of the 39th annual Design Automation Conference[C],New Orleans, USA, 2002:795-800.
    [59] Bjerregaard T, Mahadevan S. A Survey of Research and Practices of Network-on-Chip[J]. ACM Computing Surveys, 2006, 38(1): 52-62.
    [60] Rijpkema E, Goossens K, Radulescu A, et al. Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip[J]. IEE Proceedings- Computers and Digital Techniques, 2003, 150(5): 294-302.
    [61] Rijpkema E, Goossens K, Wielage P. A router architecture for networks on silicon[A]. In: Proceeding of the 2nd Workshop on Embedded Systems[C], Graz, Austria, 2001: 181–188.
    [62] Huang Ting-Chun, Ogras U, Marculescu R. Virtual channel planning for Network-on-chip[A]. In: Proceedings of the 8th International Symposium on Quality Electronic Design[C], San José, USA, 2007: 879-884.
    [63] Rezazad M, Sarbazi-azad H. The effect of virtual channel organization on the performance of interconnection networks[A]. In: Proceedings of 19th IEEE International Parallel and Distributed Processing Symposium[C], Denver, USA, 2005: 4-8.
    [64] Valiant L, Brebner G. Universal schemes for parallel communication[A]. In: Proceedings of the 13th Annual ACM Symposium on Theory of Computing[C], Milwaukee, USA, 1981: 263-277.
    [65] Jyh-Charn L, Shin G, Chang C. Prevention of hot spots in packet-switched multistage interconnection networks[J]. IEEE Transactions on Parallel and Distributed Systems, 1992, 6(5): 535-541.
    [66]龙华.OPNET Modeler与计算机网络仿真[M].西安:西安电子科技大学出版社,2009.
    [67] Kim M, Davis J, Oskin M, et al. Polymorphic On-Chip Networks[A]. In: Proceedings of the 35th Annual International Symposium on Computer Architecture[C], Beijing, China, 2008: 101-112.
    [68] Concer N, Bononi L, SouliéM, et al. CTC: an End-To-End Flow Control Protocol for Multi-Core Systems-on-Chip[A]. In: Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip[C], San Diego, USA, 2009: 193-202.
    [69] Al-Nayeem A, Islam T. gpNoCsim 1.0 User’s Guide[EB/OL].: Bangladesh University of Engineering and Technology网站, 2006.
    [70] Hossain H, Ahmed M, Al-Nayeem A. gpNoCsim-A general purpose simulator for network-on-chip[A]. In: Proceedings of the International Conference on Information and Communication Technology[C], Dhaka, Bangladesh, 2007: 254-257.
    [71] Bolotin E, Cidon I, Ginosar R. QNoC: QoS architecture and design process for network on chip[J]. Journal of Systems Architecture, special issue on Network on Chip, 2004, 50(1): 105-128.
    [72] Li-Shiuan Peh, Dally W. A delay model for router microarchitectures[J]. IEEE Micro, 2001, 21(1): 26-34.
    [73] Jingcao Hu, Marculescu R. Energy-aware mapping for tile-based NoC architectures under performance constraints[A]. In: Proceedings of the Asia and South Pacific Design Automation Conference [C], Japan, 2003: 233-239.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700