集成电路中版图处理及互连线优化技术的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成电路发展到超大规模阶段,集成电路工艺进入到亚微米甚至纳米阶段,集成电路中互连线成为限制版图面积的主要因素,同时版图图像处理在集成电路性能提取和失效判别中越来越重要。本文主要研究了集成电路物理设计版图图像恢复技术、版图提取技术及布局布线算法问题,可以概括如下:
     探索不同的方法从模糊的与非门电路版图和集成电路版图恢复清晰的版图,其中包括Lucy-Richardson(LR)法复原,维纳滤波复原,拉格朗日乘法算子最小二乘方滤波复原,并观察各种方法对同一个与非门电路和集成电路版图恢复清晰图像的适用情况,确定各自优缺点,最终实现模糊集成电路版图恢复。
     研究了集成电路图像特征提取,实现导线、有源区及多晶硅等区域分离。利用阀值图像分割方法,对PMOS管、与非门版图和反相器版图图像进行了灰度处理,研究了集成电路各个扩散层、导线层的特点,根据灰度值提取出P掺杂,有源区,金属层,多晶硅等各个部分,可以确定集成电路设计构成和各部分功能。
     研制了波传播电路自动布线软件,其中采用版图中区域标志、自动寻找、避开障碍区域、布终点标志,并完成布线的回找等功能,开发了集成电路布局软件,完成单点及多点连接线自动布线。
     以遗传算法为基础研究了集成电路布图优化算法,优化集成电路布局。结合电源和地线网络的特性,通过对模块布局的改进来优化电源和地线网络的布图,使模块按照设定的优先级排布,实现模块化设计中VLSI占用面积的优化。
     利用蚁群算法并考虑到信号串扰,实现了两端线网和多端线网的优化布线。采用对下一个区域的选择和信息素更新两个因素,将信号的串扰融入蚁群布线算法过程中,按照信号传输时间最短,优化了集成电路的布线。
     主要创新点如下:
     1.将图像恢复方法应用于集成电路版图处理,并发现了噪声模糊图像进行复原时,LR方法基本恢复不出集成电路版图,维纳滤波的去噪声明显但是图像清晰度不够,拉格朗日乘法算子最小二乘方滤波复原版图清晰。
     2.独立编写布图优化、布线优化、自动布线软件。此软件具有很强的适用性及灵活性,达到商业软件的功能。
With the development of integrated circuit to large scale stage, integrated circuit technology comes into sub-micron and even nano stage. Interconnect lines become the main restrictive factors of layout area in integrated circuit, at the same time the processing of layout image becomes more and more important in the extraction of performance and failure analysis on integrated circuit. This paper mainly studies the restoration and extraction technology of layout image and some placement and routing algorithms in the physical design of integrated circuit. This is as follows:
     Various methods including Lucy-Richardson(LR), the Wiener filter and Lagrangian least squares filtering, are explored to recover the clear layout from fuzzy NAND and other integrated circuit layout image. The applications and their advantages and disadvantages of various methods are given. Finally, the fuzzy integrated circuit image is recovered.
     Feature extraction of integrated circuit layout is researched. Wires, active area and polycrystalline silicon area and so on have been separated. The gray processing are finished for PMOS tubes, NAND and inverter layout using threshold image segmentation method. The features of each diffusion layer and conductor layer are studied. P doping, active area, metal layers, polycrystalline silicon layers and so on are extracted according to the grey value. Hereby, the compositions of IC design and functions of various parts can been determined.
     Wave propagation is analyzed to realize automatic routing software. The routing software on integrated circuit including regional marks, automatically searching, sheering obstacles area, determining the end point coordinates, returning to find the starting points is developed. A single-point and multi-points connection can be implemented by the software.
     IC placement optimization algorithm based on genetic algorithm is studied to optimize integrated circuit layout. Placement of power and ground network is optimized combined with the characteristics of the power and ground network by the improvement of module placement. Modules are set in according to priority order and the optimization area is obtained in the modular design of VLSI.
     The optimization routing in two-terminal wire and multi-terminal wires is achieved using the ant colony algorithm and considering the signal crosstalk. The ant algorithm is improved in two aspects of next region selection and pheromone updating. The improved algorithm with crosstalk minimum optimizes the routing result by the shortest time according to the signal transmission.
     Main innovation points as follows:
     Ⅰ. It is found that the integrated circuit layout almost can not been recovered from the fuzzy image in the present of noise by Lucy-Richardson method. The Wiener filter is an effect method for the denoising, but obviously it is not enough for receiving clear image. The Lagrange least squares filtering is the most for restoration of the integrated circuit layout.
     Ⅱ. Layout optimization, routing optimization and automatic routing software are finished independently. This software achieves functions of commercial software and has strong suitability and flexibility.
引文
[1]李明骏.新18号文:中国集成电路发展新契机.集成电路应用.2011,2:1
    [2]田文雅.基于软件的集成电路版图提取系统设计.计算机时代.2002,10:15-17
    [3]王竞.集成电路的发展趋势及面临的问题.科技资讯.2009,27:100
    [4]Zantye P B., Kumar A, Sikder A K. Chemical mechanical planarization for microelectronics applications. Materials Science and Engineering: R: Reports, 2004,45(3-6):89-220
    [5]郑辉.运动模糊图像复原技术的研究与实现:[硕士学位论文].北京:国防科技大学论文,2007.
    [6]Ren X F.Finding people in archive films through tracking[C]. IEEE Conference on Computer Vision and Pattern Recognition. Anchorage,Alaska,USA,2008,6:1483-1490
    [7]许元男,赵远,刘丽萍等.基于局部运动模糊图像的测速.光电工程. 2009,36(10);71-80
    [8]杨斯涵.基于边缘特征的单帧图像清晰度判定.计算机工程与应用. 2009,45(30):198-203
    [9]丁艳,金伟其,刘伟.基于模糊率的自适应多阈值图像分割方法.兵工学报. 2009,30(9):1211-1216
    [10]Zhang L,Raut R,Jiang Y,et al.Two stage placement for VLSI analogue layout designs. IEE Processing-Circuits, Devices & Systems.2006,153(3):274-280
    [11]Yan J T. Dynamic tree reconstruction with application to timing-constrained congestion driven global routing. IEE Processing-Computers & Digital Techniques.2006,153(2):117-129
    [12]Xu J Y, Hong X L, Jing T, et al. An efficient hierarchical timing-driven Steiner tree algorithm for global routing. Integration, theVLSI Journal,2003,35(2):69-84
    [13]Shi W P,Su C. The rectilinear Steiner arborescence problem is NP-complete. SIAM Journal on Computing.2006,35(3):729-740
    [14]Shang S P,Jing T. Steiner minimal trees in rectilinear and octilinear planes. Acta Mathematica Sinica.2007,23(9):1577-1586
    [15]Zachariasen M,Rohe A. Rectilinear group Steiner trees and applications in VLSI design.Mathematical Programming.2003,94(2/3):407-434
    [16]Peyer S, Rautenbach D, Vygen J. A generalization of Dijkstra's shortest path algorithm with applications to VLSI routing. Journal of Discrete Algorithm,2009,7(4):377-390
    [17]Terlaky T, Vannelli A, Zhang H. On routing in VLSI design and communication networks. Discrete Applied Mathematics, 2008,156(11):2178-2194
    [18]Recski A. Some polynomially solvable subcases of the detailed routing problem in VLSI design Discrete Applied Mathematics,2001,115(1-3):199-208
    [19]Tsujii N, Baba K, Tsukiyama S. An interconnect topology optimization by a tree transformation. Design Automation Conference, 2000. Proceedings of the ASP-DAC 2000. Asia and South Pacific, 2000,6:93-98.
    [20]Hou H B, Sapatnekar S S. Routing tree topology construction to meet interconnect timing constraints.Proceedings of the International Symposium on Physical Design (ISPD). New York: ACM Press,1998:205-210.
    [21]Hou H B, Hu J. Non-Hanan routing. IEEE Transactions on CAD, 1999, 18(4):436-444.
    [22]Peyer S, Zachariasen M, J?rgensen D G. Delay-related secondary objectives for rectilinear Steiner minimum trees.2004,136(2-3):271-298
    [23]Recski A, Szeszlér D. Routing vertex disjoint Steiner-trees in a cubic grid and connections to VLSI. Discrete Applied Mathematics, 2007, 155(1):44-52
    [24]Tan X H, Song X Y. Routing multiterminal nets on a hexagonal grid. Discrete Applied Mathematics,1999,90(1-3):245-255
    [25]Szeszlér D. Additive approximation for layer minimization of manhattan switchbox routing. Electronic Notes in Discrete Mathematics, 2010,36(8):1097-1104
    [26]Xu J Y, Hong X L, Jing T, et al. A coupling and crosstalk-considered timing-driven global routing algorithm for high-performance circuit design. Integration, the VLSI Journal, 2006,39(4): 457-473
    [27]Hu J,Sapatnekar S S.A survey on multi-net global routing for integrated circuits.Integration, the VLSI Journal, 2001, 31(1):1-49
    [28]Zhao J, Tong W M. Solution to the problem of ant being stuck by ant colony routing algorithm. The Journal of China Universities of Posts and Telecommunications, 2009, 16(1): 100-105, 110.
    [29]Borra S N R, Muthukaruppan A, Suresh S, et al.A novel approach to the placement and routing problems for field programmable gate arrays.Applied Soft Computing, 2007, 7(1):455-470
    [30]Xiong J J, He L.Full-chip multilevel routing for power and signal integrity.Integration, the VLSI Journal, 2007, 40(3): 226-234
    [31]Yao H L, Cai Y c, Hong X L.congestion-driven multilevel full-chip routing framework.Tsinghua Science & Technology, 2008,13(6): 843-849
    [32]Cong J, Leung K S. Optimal wire sizing under elmore delay model. IEEE Transact ions on CAD, 1995,14(3):321-336.
    [33]Wu B, Sherwani N A. Effective buffer insertion of clock tree for high-speed VLSI circuits. Microelectronics Journal,1992,23(4):291-300
    [34]Chu C C N, Wong D F. An efficient and optimal algorithm for simultaneous buffer and wire sizing. IEEE Transactions on CAD, 1999,18(9):1297-1304.
    [35]Cong J, He L. Optimal wire sizing for interconnects with multiple sources. ACM Transactions on Design Automation of Electronic Systems,1996,1(1-4):478-511.
    [36]Lillis J, Cheng C K. Timing optimization for multisource nets : characterization and optimal repeater insertion. IEEE Transactions on CAD, 1999,18(3):322-331.
    [37]Lillis J, Cheng C K. Timing optimization for multi-source nets: characterization and optimal repeater insertion. Proceedings of the Design Automation Conference (DAC). New York : ACM Press, 1997:214-219.
    [38]Hu J, Sapatnekar S S. Algorithm for non-hanan based optimization for VLSI interconnect under higher-order AWE model.IEEE Transactions on CAD,2000,19(4):446-458.
    [39]Pavlidis V F, Friedman E G. Timing-driven via placement heuristics for three-dimensional ICs. Integration, the VLSI Journal, 2008, 41 (4): 489-508
    [40]Pamunuwa D,Elassaad S,Tenhunen H. Modeling noise and delay in VLSI circuits.Electronics Letters.2003,39(3):269-272
    [41]Pileggi L. Timing metrics for physical design of deep submicron technologies. Proceedings of the International Symposium on Physical Design (ISPD). New York : ACM Press, 1998:28-33.
    [42]Rao V B. Delay analysis of the distributed RC line. Proceedings of the Design Automation Conference (DAC).New York: ACM Press, 1995:370-375.
    [43]李芝燕,严晓浪.一种有效的变线宽时钟布线算法.微电子学,1999,29(3):164-168
    [44]Kei L S, Srikanthan T. Dynamic multicast routing in VLSI. Computer Communications, 2000,23(11):1055-1063
    [45]Kubo Y, Miyashita H, Kajitani Y, et al. Equidistance routing in high-speed VLSI layout design. Integration, the VLSI Journal, 2005,38(3):439-449
    [46]黄训诚,庄弈琪,耿阿囡.基于粒子群优化算法的集成电路无网格布线.西安电子科技大学学报(自然科学版).2007,34(1):34-47,86
    [47]黄训诚,耿阿囡,庄奕琪等.基于蚁群算法的集成电路无网格布线.电子器件.2006,29(3): 874-877
    [48]Tamanna A, Melanie M. Using ant colong optimization for routing in VLSI chip. AIP Conference Proceeding.2009,1117(1):145-156
    [49]谢满德,马琪,严晓浪.基于V型框架的多层无网格布线算法.浙江大学学报:工学版2006,40(5):743-747
    [50]谢满德.一种自适应多层无网格布线算法.计算机工程. 2006,32(14):11-13
    [51]王磊,鲁瑞兵,魏少军.一种同时进行资源分配和布局规划的高层次综合算法.电子学报. 2002,30(5):766-768
    [52]王书江,葛海通,严晓浪.超大规模集成电路无网格布线算法研究.电路与系统学报.2002,7(4):13-16
    [53]Holland J H. Adaptation in natural and artificial systems. MI: University of Miehigan Press,1975
    [54]胡庆生,汪晓岩,庄镇泉.多层布线有约束通孔最小化的遗传优化算法.中国科学技术大学学报.1999,3:46-52
    [55]王书江,葛海通,李伟良等.多层VLSI布线通孔最少化的遗传算法.微电子学.2003,3:176-179
    [56]Lee C Y.An algorithm for path connect ions and its applications.IRE Trans on Electronic and Computers,1961,10(3):346-365
    [57]Soukup J. Fast mazt router. Design Automation conference Proc, 1978, 15:100-102
    [58]杨国川.用于计算机自动布线的一种线探索法.山西大学学报(自然科学版) 1996,19 (3) : 283-287
    [59]石海波.一种超大规模集成电路多层布线技术研究.煤炭技术. 2010,29(11):24-26
    [60]冈萨雷斯等.数字图像处理.第2版,阮秋琦,等译.北京:电子工业出版社,2003.
    [61]孔祥龙,李玉同,远晓辉等.Lucy-Richardson算法用于针孔图像的恢复.物理学报.2006, 55(5):2364-2370
    [62]孙辉,张葆,刘晶红等.离焦模糊图像的维纳滤波恢复.光学技术. 2009,35(2):295-298
    [63]钱春强,王继成.基于改进约束最小二乘方法的图像复原算法.计算机技术与发展.2007,17(6):9-11
    [64]金炜,魏彪.中子数字图像几何不锐度校正算法研究.光学学报.2007,27(10):1765-1770
    [65]Jan B, Lagendijk R L, Mersereau R M. Iterative methods for image deblurring[C].Proc. IEEE,1990,78(5):856-882
    [66]刘玉岭,檀柏梅,张楷亮.超大规模集成电路衬底材料性能及加工测试技术工程.冶金工业出版社, 2002
    [67]刘玉岭,杨鸿波,檀柏梅等.镶嵌钨的化学机械抛光的研究.半导体杂志2000,25(4):40-45,50
    [68]Wolf S. Silicon Process for the VLSI Era, Lattice Press, Sunset Beach,CA.1990
    [69]Oliver M R. Chemical–Mechanical Planarization of Semiconductor Materials,Springer, New York, 2004.
    [70]Seo Y J, Kim N H, Lee W S. Chemical mechanical polishing and electrochemical characteristics of tungsten using mixed oxidizers with hydrogen peroxide and ferric nitrate,Mater. Lett. 2006 ,60:1192-1197.
    [71]Li Y. Microelectronic Applications of Chemical Mechanical Planarization, JohnWiley & Sons, New Jersey, 2008.
    [72]Seo Y J, Kim N H, Lee W S. Electrochemical corrosion effects and chemical mechanical polishing characteristics of tungsten film using mixed oxidizers, Microelectron Engineer. 2006,83:428-433.
    [73]Kanga Y J, Nagendra P Y, Kim I K. Synthesis of Fe metal precipitated colloidal silica and its application to W chemical mechanical polishing (CMP) slurry , Journal of Colloid and Interface Science 2010,349:402–407
    [74]李刚,陈后鹏,林争辉等.分级式IC版图设计规则检查. 1999,33(1):13-23
    [75]邝国仲,叶玉堂,吴云峰等.印刷电路板表面轮廓提取算法研究.中国科技信息,2009,9:29-31
    [76]Mikamik, Tabushi K. A computer program for optimal routing of printed circuit connecters. IFIPS Proc, 1968,47:1475-1478
    [77]Kelly M F, Smith R J. Analytical and experimental analysis of routing algorithms, A silomar conference on circuits. Systems and Computers, 1977,7-9:362-369
    [78]王耀明.由集成块构成的电路的自动布线[J].上海师范学院学报(自然科学版).1982,1:37-52
    [79]杜昶旭,蔡懿慈,洪先龙等.基于线网分类的模拟电路自动布线器.计算机辅助设计与图形学学报, 2008,120(14): 417-424
    [80]郑爽,张卫山. DW最短路径算法及在逻辑图自动布线中的应用.计算机工程,2005,31(19):192-194
    [81]胡卫明,严晓浪,马琪.一个多层VLSI/PCB布线通孔最小化的神经网络方法.电子学报.1998,26(2):20-24
    [82]王周缅,马良. PCB布线的元胞蚂蚁算法研究.北京师范大学学报(自然科学版).2007,43(2):170-174
    [83]王培开.浅谈PCB元器件布局与布线策略.广西教育.2008,10:51-53
    [84]吴银锋,吴兆华,李春泉.电子整机三维自动布线技术研究.电讯技术.2005,2:76-81
    [85]Youssef H, Sait S M, Adiche H. Evolutionary algorithm, simulated annealing and tabu search: a comparative study. Engineering Applications of Artificial Intelligence, 2001,14(2): 167-181
    [86]王乃龙,戴宏宇,周润德.用模拟退火算法实现集成电路热布局优化.半导体学报. 2003, 24(4):427-432
    [87]杨依忠,解光军.基于遗传模拟退火算法的门阵列布局方法.计算机工程.2010,36(2):184-185,188
    [88]游海龙,贾新章,王少熙.基于遗传算法的Kriging元模型及其在模拟集成电路优化设计中应用.半导体学报.2007,28(8):1325-1329
    [89]Kimura Y, Ida K. Improved genetic algorithm for VLSI floorplan design with non-slicing structure. Computers & Industrial Engineering, 2006, 50(4): 528-540
    [90]Louis G, Maxime T G, Fran?ois M P. Review of utilization of genetic algorithms in heat transfer problems.International Journal of Heat and Mass Transfer, 2009, 52(9-10):2169-2188
    [91]Hwang S F, He R S. Improving real-parameter genetic algorithms with simulated annealing for engineering problems. Advances in Engineering Software,2006,37(6):406-418
    [92]Park C H, Lee W, Han W S, et al. Improved genetic algorithms for multidisciplinary optimization of composite laminates.Computers & Structures, 2008,86(19-20):1894-1903
    [93]Santarelli S, Yu T L, Goldberg D E, et al.Military antenna design using simple and competent genetic algorithms. Mathematical and Computer Modelling, 2006, 43(9-10):990-1022
    [94]Antofnsse J. A new interpretation of schema notation that overturns the binary encoding constraint,Proc. 3rd Int. Conf. On Genetic Algorithms. 1989:86-97
    [95]Dang C Y, Li M Q. A floating-point genetic algorithm for solving the unit commitment problem. European Journal of Operational Research, 2007,181(3):1370-1395
    [96]Valarmathi K, Devaraj D, Radhakrishnan T K. Real-coded genetic algorithm for system identification and controller tuning. Applied Mathematical Modelling,2009, 33(8):3392-3401
    [97]Hiratsuka T, Tamukoh H, Horio K, et al. A new selection circuit based on rough comparison method for GA hardware.International Congress Series, 2007,1301(7):298-301
    [98]Chang P C, Chen S S, Fan C Y.Mining gene structures to inject artificial chromosomes for genetic algorithm in single machine scheduling problems.Applied Soft Computing, 2008, 8(1):767-777
    [99]Gajpal Y, Abad P L. Multi-ant colony system (MACS) for a vehicle routing problem with backhauls.European Journal of Operational Research, 2009, 196(1):102-117.
    [100]Yu B, Yang Z Z, Yao B Z. An improved ant colony optimization for vehicle routing problem.European Journal of Operational Research, 2009,196(1): 171-176.
    [101]Dorigo M, Gianni D C. Ant algorithms for discrete optimization. Artificial Life, 1999, 5(3): 137-172
    [102]Devgan A, Rohrer A. Efficient coupled noise estimation for on-chip interconnects. Computer-Aided Design,1997. Digest of Technical Papers.1997 IEEE/ACM International Conference on.1997,11:147-153
    [103]Kaushik B K, Sarkar S, Agarwal R P, et al. An analytical approach to dynamic crosstalk in coupled interconnects.Microelectronics Journal, 2010,41(2-3):85-92
    [104]Yu Q J,kuh E S. Moment computation of lumped and distributed coupled RC trees with application to delay and crosstalk estimation. Proceeding of IEEE. 2001,89(5):772-788
    [105]Chen L H,Marek-Sadowska M. Closed-form crosstalk noise netircs for physical design applications. Design Automation and Test in Europe Conference and Exhibition. 2002,(3):812-819
    [106]刘铁英.一个带串扰限制的L型通道集成电路布线优化算法.内蒙古大学学报.2002.32(7):479-482.
    [107]Vygen J. New theoretical results on quadratic placement. Integration, the VLSI Journal, 2007,40(3): 305-314
    [108]Adya S N, Markov I L, Villarrubia P G. On whitespace and stability in physical synthesis. Integration, the VLSI Journal, 2006,39(4): 340-362
    [109]Roy J A, Ng A N, Aggarwal R, et al. Solving modern mixed-size placement instances. Integration, the VLSI Journal, 2009,42(2): 262-275
    [110]Vinícius G P, Celina M H, Guilherme D, et al. Complexity dichotomy on degree-constrained VLSI layouts with unit-length edges. Electronic Notes in Discrete Mathematics, 2010,36(8): 391-398
    [111]Vygen J. Geometric quadrisection in linear time, with application to VLSI placement. Discrete Optimization, 2005,2(4): 362-390
    [112]Youssef H, Sait S M, Ali H.Fuzzy simulated evolution algorithm for VLSI cell placement. Computers & Industrial Engineering, 2003,44(2): 227-247
    [113]Dai W M, Kuh E S. Simultaneous floor planning and global routing for hierarchical building block layout. IEEE trans. On CAD,1997,6:828-837
    [114]Coe S, Areibi S, Moussa M. A hardware Memetic accelerator for VLSI circuit partitioning. Computers & Electrical Engineering, 2007,33(4): 233-248
    [115]Erhard K H, Johannes F M, Dachauer R. Topology optimization techniques for power/ground networks in VLSI. Proc. European Design Automation Conference, Hamburg,Germany, 1992:362-367
    [116]Wu X H, Qiao C, Yin L. Design and optimization of power/ground network for BBL based VLSI. Acta Electronica Sinica, 2000,28(8):10-12
    [117]洪先龙,严晓浪,乔长阁.超大规模集成电路布图理论与算法.科学出版社,1998
    [118]刘良萍.蚁群算法在深亚微米VLSI电路寻目标路径问题的应用:[硕士论文].成都:电子科技大学,2001.
    [119]庄昌文.超大规模集成电路若干布线算法研究: [博士论文].成都:电子科技大学,2001.
    [120]刘和周.改进的蚁群算法在VLSI绕障碍线网布线中的应用:[硕士论文].成都:电子科技大学,2003
    [121]Lee D T, Yang C D, Wong C K. Rectilinear paths among rectilinear obstacles. Discrete Appl.Math. 1996:185-215
    [122]Clarkson K L, Kapoor S, Vaidya P M. Rectilinear shortest paths through polygonal obstacles in O(n(logn)3/2) time. Proceedings of 3rd Annual ACM Symposium on Computational Geometry. 1987: 252-257
    [123]李静.电源/地线线网的拓扑优化设计及进化蚁群算法在VLSI多端线网绕障碍布线中的应用:[硕士论文].成都:电子科技大学,2004.
    [124]黄训诚.基于蚁群算法的超大规模集成电路布线研究:[博士学位论文].陕西:西安电子科技大学,2007
    [125]Lee D T, Yang C D, Chen T H. Problem transformation for finding rectilinear paths among obstacles in two-layer interconnection model. Tech.Report 92-AC Dcpt. Of EECS, Northwestern Uni., Jan. 1992.
    [126]Derezende P J, Lee D T, Wu Y F. Rectilinear shortest paths with rectangular barriers. Discrete Computational Geometry, 1989, 4:49-53.
    [127]Wang Y F, Bian J N, Hong X L, et al. fider: a force-balance-based interconnect delay driven re-synthesis algorithm for data-path optimization after floorplan. Tsinghua Science & Technology, 2007,12(1): 63-69
    [128]Pavlidis V F, Friedman E G. Timing Optimization for Two-Terminal Interconnects. Three-dimensional Integrated Circuit Design, 2009:135-168
    [129]Wang J, Ghanta P, Vrudhula S. Stochastic analysis of Interconnect performance in the presence of process variations, IEEE/ACM International Conference on Computer-Aided Design(ICCAD04), 2004:880-886
    [130]Silva D D, Reis A I, Ribas R P. Gate delay variability estimation method for parametric yield improvement in nanometer CMOS technology. Microelectronics Reliability, 2010,50(9-11): 1223-1229
    [131]Zeng X, Zhou D, Li Wei. Buffer insertion for clock delay and skew minimization. ACM/SIGDA international Symposium on Physical Design.1999
    [132]Patooghy A, Miremadi S G. Complement routing: A methodology to design reliable routing algorithm for Network on Chips. Microprocessors and Microsystems, 2010,34(6):163-173
    [133]Elgamel M, Bayoumi M. Interconnect Noise Analysis and Optimization in Deep Submicron Technology. The Electrical Engineering Handbook, 2005:311-319
    [134]曾璇.时钟延时及偏差最小化的缓冲器插入新算法.电子学报, 2001, 29(11): 1458-1462.
    [135]Vittal A, Marek-Sadowska M. Power optimal buffered clock tree design, In Proceeding of IEEE/ACM Design Automation Conference(DAC95),1995: 497-502.
    [136]Mutlu A, Serhan Y. An improved Elmore delay model for VLSI interconnects. Mathematical and Computer Modelling,2010,51(7-8):908-914
    [137]Chen Y P, Wong D F. An algorithm for zero-skew clock tree routing with buffer insertion, Proceedings, European Design and Test Conference(EDATC96), 1996:230-236.
    [138]Kuo C C, Tsai C C, Lee T Y. Pattern-matching-based X-architecture zero-skew clock tree construction with X-Flip technique and via delay consideration. Integration, the VLSI Journal, 2011,44(1):87-101
    [139]Shen W X,Cai Y C,Hong X L,et al. Zero skew clock routing in X-architecture based on an improved greedy matching algorithm. Integration, the VLSI Journal, 2008,41(3):426-438

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700