系统级热敏感管理技术的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体工艺的不断进步,集成电路芯片的集成度越来越高,无论是在服务器领域还是在嵌入式平台,功耗/能耗已经成为了计算机系统的关键资源。目前数据中心运营成本主要是由功耗/能耗所决定的,电池的续航时间往往限制了嵌入式平台的可用性。然而计算机各部件温度的不断提高严重影响了系统性能,可靠性,同时也进一步加剧了功耗/能耗的消耗。如何控制计算机系统功耗/温度的问题(或称“热敏感管理技术”)已经成为学术界和工业界所关心的重点问题。
     操作系统是计算机系统中进行资源分配和任务调度的核心,能够综合利用底层硬件提供的动态电压频率调节(DVFS)和动态功耗控制(DPM)等手段,结合系统运行时信息进行系统热敏感管理。
     本文以平衡系统的性能、公平性、功耗和温度等关键参数为目标,结合操作系统和体系结构理论,研究任务行为特征、线程分组管理和保持功能部件状态亲和性等关键理论和技术,在操作系统中实现热敏感的任务和资源管理框架。
     本论文围绕如何提高处理器、内存以及整个系统的热效率,开展以下的主要研究工作:
     1.对当前国内外相关领域的研究进行总结,分析现有的功耗,温度管理方法存在的一些不足;
     2.提出频率亲和性的概念,延长处理器处于同一频率的时间,降低处理器频率调节的频度,提高处理器的功耗效率。同时根据线程对处理器性能需求的不同将系统内的线程分成不同的线程组,按照线程组来进行线程的管理。结合频率亲和性和线程组调度进行处理器的热敏感管理;
     3.提出访存亲和性的概念,提高访存的局部性,将暂时不用的内存置为低功耗状态,提高内存的功耗效率。同时修改内存管理中页分配策略,将线程所需的内存尽量聚集在同一内存rank中,并将使用相同内存rank的线程划分成同一个线程组。结合访存亲和性和线程组调度进行内存的热敏感管理;
     4.分析当前处理器功耗/温度管理方法和内存功耗/温度管理方法难以结合的原因,基于线程组的概念,结合频率亲和性和访存亲和性,进行系统的热敏感管理;
     5.通过分析任务的行为特征,首次提出“功能事件”概率刻画线程的冷热特征和线程间的依赖关系,在此基础上抽象出任务模式,作为表示系统行为特征的手段。根据功能事件进行系统级的热敏感管理;
     6.详细分析现有热敏感管理方法对操作系统产生的影响,针对这些影响基于时间片缩放的调度方法解决这些问题。
     本论文研究的新贡献如下:
     1.扩展“线程组”概念,按任务的行为模式进行线程分组,分配处理器和内存等硬件资源。首次提出频率亲和性的概念,结合线程组和频率亲和性提出频率亲和性感知的线程组调度策略,在保证系统性能和线程公平性的前提下实现系统级热敏感控制;
     2.提出将线程所需内存聚集到同一个内存rank的操作系统页分配算法,并结合线程组调度,首次提出访存亲和性感知的线程组调度策略,延长同一内存rank访问和其他1(?)ank空闲的时间,最大程度的降低内存功耗;
     3.首次提出系统级行为分析的“功能事件”分析法,用功能事件概念对系统的行为特征进行刻画,指导热敏感管理。“功能事件”具有原子性,能更好的刻画任务行为的本质特征,包括其冷热特征和线程间的依赖关系,对完善计算机系统任务行为分析方法和系统级功耗/温度优化理论研究具有重要的推动作
With the rapid development of semiconductor technology and increasing integration of the integrated circuit chip, power/energy is a critical resource in all types of computing systems from servers to embedded system. Energy costs dominate data center expenses and carbon footprints, system's battery life limits the embedded devices' functionality. However, increasing temperature of all components affect system performance, reliability seriously, and exacerbate consume energy/power. How to control power/energy of computer system (or called "thermal-aware management technology") is one of the key issues concerned by academia and industry.
     Operating system controls resource allocation and task scheduling in computer system, which can combine hardware technologies of dynamic voltage frequency scaling (DVFS) and dynamic power management (DPM) with runtime information of system to conduct thermal-aware management.
     This dissertation aims to balance system performance, fairness, power and temperature and so on, combine operating system and architecture theory, study key theories and technologies of characteristics of the task behavior, according group managing threads and retain components in the same status and so on. And realize thermal-aware task and resource management at operating system.
     This dissertation focuses on how to improve thermal efficiency of the CPU, memory and the whole system, and the proposed solutions are as follows:
     1. Summarize current research results of relative fields, analyze shortcomings of the existing power and temperature management technologies;
     2. Propose frequency affinity, which retain the same frequency of the CPU as long as possible, reducing frequently switching CPU frequency, improving CPU power efficiency. And based on the different CPU demands of the threads we partition them into groups, scheduling threads according groups. Coordinate frequency affinity with thread group scheduling to conduct thermal-aware management of the CPU;
     3. Propose memory affinity, which improves locality of accessing memory, low power temporarily unused memory rank, improving memory power efficiency of the memory. Although, modify page allocation method of the operating system, aggregating memory of one thread into one memory rank, and partitioning threads of allocating the same memory rank into the same group. Coordinate memory affinity with thread group scheduling to conduct thermal-aware management of the memory;
     4. Analyze the difficult of combining both CPU and memory power/temperature management. And based on thread group, combining frequency affinity and memory affinity, conduct thermal-aware management of the whole system;
     5. Through analyzing characteristics of the task behavior, firstly propose the concept of the "function event", portray the hot and cold characteristics of the thread and dependencies among threads, abstract task pattern according to function event. Conduct system level thermal-aware management based on function event;
     6. Analyze how the current thermal-aware management technologies impact the operating system in detail, and propose solutions to these problems.
     The contributions and innovations of our works include:
     1. Expand the concept of "thread group", partition threads into groups according task behavior, allocate CPU and memory resource and so on, and use frequency affinity and memory affinity, design thermal-aware task scheduling and resource allocation algorithm, realize system level thermal-aware control under the premise of performance and fairness;
     2. Propose a new page allocation method of aggregating one thread's memory into the same memory rank, combine thread group scheduling, prolong access the same memory rank and others idle time, reduce memory power as much as possible;
     3. Firstly propose system level "function event" analysis, use function event to portray the characteristics of the task behavior, direct thermal-aware management. Function event is atomic, can better portray the nature of the task behavior, concluding hot and cold characteristics and inter-thread dependencies. It's meaningful to complete methods of task behavior analysis and promote the theory of system level power/temperature optimization;
引文
U.S. Environmental Protection Agency, ENERGY STAR Program.2007. Report to Congress on Server and Data Center Energy Efficiency[R/OL].
    Global Action Plan. An Inefficient Truth. Global Action Plan Report, http://globalactionplan.org.uk, Dec.2007.
    M. Webb. SMART 2020:Enabling the Low Carbon Economy in the Information Age. The Climate Group. London, June 2008.
    Massoud Pedram. Power minimization in IC design:principles and applications. ACM Transactions on Design Automation of Electronic Systems (TODAES). New York, NY, USA, Jan.1996. Pages 3-56.
    Jose Monteiro, Srinivas Devadas. Computer-Aided Design Techniques for Low Power Sequential Logic Circuits. Kluwer Academic Publishers Norwell, MA, USA,1997.
    R. Murgai, R. K. Brayton and A. Sangiovanni-Vincentelli. Decomposition of logic functions for minimum transition activity. Proceedings of the 1995 European conference on Design and Test (EDTC'95). Washington DC, USA,1995.
    JanM M. Rabaey. Design guidance in the power dimension. International Conference on Acoustics, Speech, and Signal Processing.1995. Pages 2837-2840.
    Jihua Chen et al.. Power Estimation for CMOS Devices at logic level. NCCT&D'99.1999.
    John Wei and Chris Rowen. Implementing Low-Power Configurable Processors Practical Options and Tradeoffs. Proceedings of the 36th annual ACM/IEEE Design Automation Conference (DAC'05), June,2005.
    S Sirichotiyakul, Tim Edwards, Chanhee Oh, Jingyan Zuo, Abhijit Dharchoudhury, Rajendran Panda and David Blaauw. Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing [C]. Proceedings of the 36th annual ACM/IEEE Design Automation Conference (DAC'99). New York, NY, USA,1999. Pages 436-441.
    Intel Corporation.2004. Enhanced Intel(?) SpeedStep(?) Technology for the Intel(?) Pentium(?) M Processor[R].[S.1.]:[s.n.].
    AMD Corporation.2000. AMD PowerNow!TM Technology Dynamically Manages Power and Performance[R]. Rev. A.
    WIKIPEDIA.2009. Advanced Configuration and Power Interface[K/OL]. http://en.wikipedia.org/wiki/Advanced_Configuration_and_Power_Interface.
    ACPI. ACPI-Advanced Configuration and Power Interface[K/OL].http://www.acpi.info/.
    HP Corporation, Intel Corporation,Microsoft Corporation, et al. Advanced Configuration and Power Interface Specification[S/OL]. Rev.4.0. http://www.acpi.info/.2007.
    Alvin R. Lebeck, Xiaobo Fan, Heng Zeng, Carla Ellis. Power Aware Page Allocation. ACM SIGOPS Operating Systems Review.Volume 34 Issue 5, Dec.2000.
    D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA), January 2001.
    Intel Corporation.2009. Intel(?) 64 and IA-32 Architectures Software Developer's Manual[M].[S.1.]:[s.n.].
    Calimera Andrea, Loghi Mirko, Macii Enrico, Poncino Massimo. Dynamic indexing:Concurrent leakage and aging optimization for caches. Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010). Pittsburgh, PA, March,2010.
    T. Simunic, L. Benini, G. De Michli, M. Hans. Source Code Optimization and Profiling of Energy Consumption in Embedded Systems. ISSS 2000.
    AbouGhazaleh N, Moss'e D, Childers B R, et al. Collaborative operating system and compiler power management for real-time applications. Trans. On Embedded Computing Sys.2006.
    Qiong Cai, Jos'e Gonz'alez, Ryan Rakvic, Grigorios Magklis, Pedro Chaparro, and Antonio Gonz' alez. Meeting points:using thread criticality to adapt multicore hardware to parallel regions. In PACT'08:Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pages 240-249, New York, NY, USA,2008. ACM.
    Qiong Cai, Jos'e Gonz' alez, Grigorios Magklis, Pedro Chaparro, and Antonio Gonz' alez. Thread Shuffling:Combining DVFS and Thread Migration to Reduce Energy Consumptions for Multi-core Systems. International Symposium on Low Power Electronics and Design. 2011.
    Chun Liu, Anand Sivasubramaniam, Mahmut Kandemir, Mary Jane Irwin. Exploiting Barriers to Optimize Power Consumption of CMPs. IEEE international Parallel and Distributed Processing Symposium.2005.
    Anand Raghunathan, Niraj K. Jha, Sujit Dey. HIGH-LEVEL POWER ANALYSIS AND OPTIMIZATION. Kluwer Academic Publishers.1998.
    Raghunathan A, Jha N K, Dey S.1998. High-Level Power Analysis and Optimization[M]. Norwell, MA, USA:Kluwer Academic Publishers.
    S. Siddha, V. Pallipadi, A. Van De Ven. Getting maximum mileage out of tickles. The Linux Symposium.2007.
    V. Pallipadi, A. Starikovskiy. The Ondemand Governor:Past, Present, and Future. The Linux Symposium,2006.
    T. Gleixner, D. Niehaus. Hrtimers and Beyond:Transforming the Linux Time Subsystems. The Linux Symposium.2006.
    V. Pallipadi, A. Belay. Cpuidle-Do nothing, efficiently…The Linux Symposium.2007. http:/www.ibm.com/developerworks/linux/library/l-completely-fair-scheduler/. http://www.irqbalance.org/documentation.php.
    L. Brown, K. Karasyov, et al. Linux Laptop Battery Life:Measurement Tools, Techniques, and Results. The Linux Symposium.2006.
    V. Pallipadi and V. Srinivasan. Energy-aware task and interrupt management in Linux. The Linux Symposium.2008.
    AbouGhazaleh N, Moss'e D, Childers B, et al.2003b. Toward the placement of power management points in real-time applications[M]//Compilers and Operating Systems for Low Power. Norwell, Massachusetts, USA:Kluwer Academic Publishers:37-52.
    T. Sherwood, E. Perelman, and B. Calder. Basic block distribution analysis to find periodic behavior and simulation points in applications. In International Conference on Parallel Architectures and Compilation Techniques, September 2001.
    T. Sherwood, Suleyman Sair, and Brad Calder. Phase Tracking and Prediction. In the Proceedings of the 30th annual international symposium on Computer architecture (ISCA'03). New York, NY, USA, pages 336-349. May,2003.
    Priya Nagpurkar, Chandra Krintz, and Timothy Sherwood. Phase-Aware Remote Profiling. In International Symposium on Code Generation and Optimization (CGO'05). March,2005.
    Chandra Krintz, Rich Wolski. Using Phase Behavior in Scientific Application to Guide Linux Operating System Customization.19th IEEE International Parallel and Distributed Processing Symposium. April,2005.
    Priya Nagpurkar, Michael Hind, Chandra Krintz, Peter F. Sweeney, and V. T. Rajan. Online Phase Detection Algorithms. In International Symposium on Code Generation and Optimization (CGO'06). March,2006.
    Ashutosh S. Dhodapkar, James E. Smith. Managing multi-configuration hardware via dynamic working set analysis.29th Annual International Symposium on Computer Architecture (ISCA'02).2002.
    Michael C. Huang, Jose Renau, and Josep Torrellas. Positional adaptation of processors: application to energy reduction.30th Annual International Symposium on Computer Architecture (ISCA'03). June,2003.
    Timothy Scherwood, Erez Perelman, Greg Hamerly, and Brad Calder. Automatically characterizing large scale program behavior. Proceeding of the 10th international conference on Architectural support for programming languages and operating systems (ASPLOS'02). December,2002.
    Ashutosh S. Dhodapkar, James E. Comparing Program Phase Detection Techniques. Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture (MICRO 36). Washington, DC, USA,2003.
    Canturk Isci, Gilberto Contreras, and Margaret Martonosi. Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management. Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 39). Washington, DC, USA,2006.
    Tyler Sondag, Hridesh Rajan. Phase-guided thread-to-core assignment for improved utilization of performance-asymmetric multi-core processors. ICSE Workshop on Multicore Software Engineering (IWMSE'09). May,2009.
    AbouGhazalehN, Childers B,Moss'eD, et al.2003 a. Energy management for real-time embedded ap-plications with compiler support[C]//Proceedings of the 2003 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03). San Diego, California, USA:ACM:284-293.
    AbouGhazaleh N, Moss'e D, Childers B R, et al.2006. Collaborative operating system and compiler power management for real-time applications[J]. ACM Transactions in Embedded Computing System (TECS),5(1):82-115.
    Qiong Cai, Jose Gonzalez, Ryan Rakvic, Grigorios Magklis, Pedro Chaparro, and Antonio Gonzalez. Meeting Points:Using Thread Criticality to Adapt Multicore Hardware to Parallel Regions. Proceedings of the 17th international conference on parallel architectures and compilation techniques. New York, NY, USA.2008.
    Qiong Cai, J. Gonzalez, Grigorios Magklis, Pedro A. Chaparro and Antonio M. Gonzalez. Thread Shuffling:Combining DVFS and Thread Migration to Reduce Energy Consumptions for Multi-core Systems. International Symposium on Low Power Electronics and Design (ISLPED'11).Aug.,2011.
    R. Rakvic, Qiong Cai, J. Gonzalez, G. Magklis, P. Chaparro, and A. Gonzalez. Thread-management techniques to maximize efficiency in multicore and simultaneous multithreaded microprocessors. ACM Transactions on Architecture and Code Optimization (TACO). September 2010.
    Chun Liu, Anand Sivasubramaniam, Mahmuth Taylan Kandemir, and Mary Jane Irwin. Exploiting Barriers to Optimize Power Consumption of CMPs.19th IEEE International Parallel and Distributed Processing Symposium. April,2005.
    Abhishek Bhattacharjee, Margaret Martonosi. Thread Criticality Predictors for Dynamic Performance, Power, and Resource Management in Chip Multiprocessors. Proceedings of the 36th annual international symposium on Computer architecture (ISCA'09). June,2009.
    Kai Ma, Xue Li, Ming Chen, and Xiaorui Wang. Scalable Power Control for Many-core Architectures Running Multi-threaded Applications. Proceedings of the 38th annual international symposium on Computer architecture (ISCA'11). June,2011.
    Oreste Villa, Gianluca Palermo, and Cristina Silvano. Efficiency and scalability of barrier synchronization on NoC based many-core architectures. Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems (CASES'08). Pages 81-90,2008.
    Masaaki Kondo, Hiroshi Sasaki, and Hiroshi Nakamura. Improving fairness, throughput, and energy-efficiency on a chip multiprocessor through DVFS. ACM SIGARCH Computer Architecture News. March,2007.
    J. Li and J. Mart'mez. Power-performance implications of thread-level parallelism on chip multiprocessors. In Proc. of the 2005 International Symposium on Performance Analysis of Systems and Software (ISPASS), Austin, TX, March 2005.
    J. Li and J. Mart'inez. Dynamic Power-Performance Adaptation of Parallel Computation on Chip Multiprocessors. In Proc. of the 12th International Symposium on HighPerformance Computer Architecture, Austin, TX, February 2006.
    J. Lo, J. Emer, H. Levy, R. Stamm, D. Tullsen, and S. Eggers. Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. ACM Transactions on Computer Systems,15(3):322-353, August 1997.
    Qiang Wu, Margaret Martonosi, Douglas W. Clark, V. J. Reddi, Dan Connors, Youfeng Wu, Jin Lee, and David Brooks. "Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance." IEEE Micro Special Issue:Top Picks from Computer Architecture Conferences, Volume 26, No.1, pp.119-129, February 2006.
    Fen Xie, Margaret Martonosi, and Sharad Malik. "Bounds on Power Savings Using Runtime Dynamic Voltage/Frequency Scaling:An Exact Algorithm and A Linear-time Heuristic Approximation." International Symposium on Low Power Electronics and Design (ISLPED), August 2005.
    Qiang Wu, Philo Juang, Margaret Martonosi, and Douglas W. Clark. "Voltage and Frequency Control with Adaptive Reaction Time in Multiple-Clock-Domain Processors." 11th International Symposium on High-Performance Computer Architecture (HPCA-11), February 2005.
    James Donald and Margaret Martonosi. "Techniques for Multicore Thermal Management: Classification and New Exploration." 33rd International Symposium on Computer Architecture (ISCA-33), June 2006.
    G. Dhiman, K. Mihic, T. Rosing. A System for Online Power Prediction in Virtualized Environments Using Gaussian Mixture Models. ACM/IEEE DAC 2010.
    Dhiman G., Rosing T.S. System-Level Power Management Using Online Learing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.2009.
    Gaurav Dhiman, Tajana Simunic Rosing. Using online learning for system level power management. IEEE TCAD.2009.
    Gaurav Dhiman, Tajana Simunic Rosing. Dynamic voltage frequency scaling for multi-tasking systems using online learning. International Symposium on Low Power Electronics and Design (ISLPED).2007.
    Gaurav Dhiman, Tajana Simunic Rosing. Dynamic power management using machine learning. International conference on Computer-aided design.2006.
    Choi K, Soma R, Pedram M.2004. Dynamic voltage and frequency scaling based on workload decomposition[C]//Proceedings of the 2004 international symposium on Low power electronics and design (ISLPED'04). New York, NY, USA:ACM:174-179.
    Kihwan Choi, Ramakrishna Soma, Massoud Pedram. Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times. Proceedings of the conference on Design, automation and test in Europe (DATE'04).2004.
    H. Sasaki, Y. Ikeda, M. Kondo, and H. Nakamura. An Intra-Task DVFS Technique based on Statistical Analysis of Hardware Events. In Proc. of the International Conference on Computing Frontiers, May 2007.
    Donald J, Martonosi M.2006. Techniques for Multicore Thermal Management:Classification and New Exploration[J]. SIGARCH Comput. Archit. News,34(2):78-88.
    Carta S, Acquaviva A, Del Valle P G, et al.2007. Multi-processor operating system emulation framework with thermal feedback for systems-on-chip[C]. Proceedings of the 17th ACM Great Lakes symposium on VLSI (GLSVLSI'07). New York, NY, USA:ACM:311-316.
    Gaurav Dhiman, Vasileios Kontorinis, Dean Tullsen, Tajana Rosing, Eric Saxe, Jonathan Chew. Dynamic workload characterization for power efficient scheduling on CMP systems. In 2010 International Symposium on Low Power Electronics and Design (ISLPED'10). August,2010.
    Aman Kansal, Jason Hsu, Sadaf Zahedi and Mani B. Srivastava. Power management in energy harvesting sensor. ACM Transactions on Embedded Computing System (TECS). September 2007.
    Ayoub. R and Rosing T. Cool and save:cooling aware dynamic workload scheduling in multi-socket cpu systems. In ASP-DAC, pages 891-896,2010.
    A. Merkel and F. Bellosa. Task activity vectors:a new metric for temperature-aware scheduling. In Eurosys'08:Proceedings of the 3rd ACM SIGOPS/EuroSys European Conference on Computer Systems 2008, pages 1-12, New York, NY, USA,2008.
    Chen Tianzhou, Ma Jianliang, Yuan Hui, Liu Jinwei, Jiang Guanjun. Function Units Sharing Between Neighbor Cores in CMP. In the 10th International Conference on Algorithms and Architectures for Parallel Processing (ICA3PP 2010). Busan, Korea.2010.
    Minghong Lins Adam Wierman, Lachlan LH Andrew, Eno Thereska. Dynamic right-sizing for power-proportional data centers. In the 2011 Proceedings IEEE INFOCOM. April,2011.
    A. Brown Jeffery, Leo Porter and Dean M. Tullsen. Fast Thread Migration via Cache Working Set Prediction. In 17th International Symposium on High Performance Computer Architecture. February,2011.
    Hyunhee Kim, Jung Ho Ahn, and Jihong Kim. Replication-aware leakage management in chip multiprocessors with private L2 cache. In Proceeding of the 16th ACM/IEEE international symposium on Low power electronics and design (ISLPED'10). August,2010.
    S. Kaxiras, Zhigang Hu, M. Martonosi. Cache decay:exploiting generational behavior to reduce cache leakage power. In the proceedings of the 28th Annual International Symposium on Computer Architecture.2001.
    M. Ghosh, H.-H. S. Lee. Virtual Exclusion:An architectural approach to reducing leakage energy in caches for multiprocessor systems. In 2007 International Conference on Parallel and Distributed Systems. December,2007.
    K. Flautner, Nam Sung Kim, S. Martin, D. Blaauw, T. Mudge. Drowsy caches:simple techniques for reducing leakage power. In Proceedings of the 29th Annual International Symposium on Computer Architecture.2002.
    Michael Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy, T. N. Vijaykumar. Gated-Vdd:a circuit technique to reduce leakage in deep-submicron cache memories. In Proceeding of the 6th ACM/IEEE international symposium on Low power electronics and design (ISLPED'00). August,2000.
    M. Monchiero, R. Canal, A. Gonzalez. Using Coherence Information and Decay Techniques to Optimize L2 Cache Leakage in CMPs. In International Conference on Parallel Processing (ICPP'09). Sept.,2009.
    J. Abella, A. Gonz alez, X. Vera, and M. F. P. O'Boyle. IATAC:a smart predictor to turn-off L2 cache lines. ACM Transactions on Architecture and Code Optimization (TACO),2(1):55-77, March 2005.
    Mrinmoy Ghost, Emre Ozer, Simon Ford, Stuart Biles, and Hsien-Hsin S. Lee. Way guard:a segmented counting bloom filter approach to reducing energy for set-associative caches. In Proceeding of the 14th ACM/IEEE international symposium on Low power electronics and design (ISLPED'09). August,2009.
    J. Chang and G. Sohi. Cooperative Cache Partitioning for Chip Multiprocessors. In Proc. of the 21st Int. Conference on Supercomputing, pages 242-252, Seattle, WA, June 2007.
    A. Jaleel, W. Hasenplaugh, M. Qureshi, J. Sebot, S. S. Jr., and J. Emer. Adaptive Insertion Policies for Managing Shared Caches. In Proc. of the 17th Int. Conference on Parallel Architectures and Compilation Techniques,2007.
    A. Jaleel, W. Hasenplaugh, M. K. Qureshi, S. C. Steely Jr., J. Emer. "Adaptive Insertion Policies for Managing Shared Caches". In PACT,2008.
    J. D. Kron, B. Prumo, and G H. Loh. Double-DIP:Augmenting DIP with Adaptive Promotion Policies to Manage Shared L2 Caches. In Proc. of the Workshop on Chip Multiprocessor Memory Systems and Interconnects, Beijing, China, June 2008.
    . Gangyong Jia, Xi Li, Chao Wang, Xuehai Zhou, Zongwei Zhu. Cache Promotion Policy using Re-Reference Interval Prediction. IEEE Conference on Cluster Computing, Beijing, China, Sep.24-28,2012.
    Chao Chen, Xi Li, Gangyong Jia, Zongwei Zhu. Cache Insertion Policy according to Each Thread's Behavior. International Workshop on Future Communication and Networking.2011.
    X. Vera and J. Xue. Let's Study Whole-Program Cache Behaviour Analytically. In Proc. Of Intl. Symp. On High Performance Computer Architecture,2002.
    Sergey Zhuravlev, Sergey Blagodurov and Alexandra Fedorova. Addressing Shared Resource Contention in Multicore Processors via Scheduling. ASPLOS,2010.
    Gangyong Jia, Wei Sheng, Wenbo Dai, Xi Li. Using FOM Predicting Method for Scheduling on Chip Multi-Processor. In Proceeding of International Conference on Communication Software and Networks (ICCSN),2011.
    Sukki Kim, Soontae Kim, and Yebin Lee. DRAM Power-Aware Rank Scheduling. In Proceeding of the 17th ACM/IEEE international symposium on Low power electronics and design (ISLPED'09). August,2012.
    Sai Prashanth Muralidhara, Lavanya Subramanian, Onur Mutlu. Reducing Memory Interference in Multicore Systems via Application-Aware Memory Channel Parationing. MICRO.2011.
    Howard David, Chris Fallin, Eugene Gorbatov, UIf R. Hanebutte, Onur Mutlu. Memory Power Management via Dynamic Voltage/Frequency Scaling. ICAC.2011.
    HanBin Yoon, Justin Meza, Rachata Ausavarungnirun, Rachael Harding, Onur Mutlu. Row Buffer Locality-Aware Data Placement in Hybrid Memories. SAFARI Technical Report No. 2011-005.2011.
    Yoongu Kim, Michael Papamichael, Onur Mutlu, Mor Harchol-Balter. Thread Cluster Memory Scheduling:Exploiting Differences in Memory Access Behavior. Proceedings of the 43th International Symposium on Microarchitecture (MICRO-43). December 2010.
    Q. Deng, D. Meisner, L. Ramos, T. F. Wenisch, and R. Bianchini. MemScale:Active Low-Power Modes for Main Memory. Proc. of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'11).2011.
    B. Diniz, D. Guedes, W. Meira Jr., and R. Bianchini. Limiting the Power Consumption of Main Memory. Proceedings of the International Symposium on Computer Architecture (ISCA'06), June 2007. Earlier version published as Technical Report DCS-TR-603, Department of Computer Science, Rutgers University, June 2006, Revised November 2006.
    V. Pandey, W. Jiang, Y. Zhou, and R. Bianchini. DMA-Aware Memory Energy Conservation. Proceedings of the 12th International Symposium on High-Performance Computer Architecture (HPCA'12), February 2006.
    Lei Liu, Zehan Cui, Mingjie Xing, Yungang Bao, Mingyu Chen, Chengyong Wu. A Software Memory Partition Approach for Eliminating Bank-level Interference in Multicore Systems. In the 21nd International Conference on Parallel Architectures and Compilation Techniques (PACT 2012). September,2012.
    Alvin R. Lebeck, Xiaobo Fan, Heng Zeng, and Carla Ellis. Power aware page allocation. Proceeding of the 8th international conference on Architectural support for programming languages and operating systems (ASPLOS'00). December,2000.
    C.-H. Lin, C.-L. Yang, and K.-J. King. PPT:Joint performance/power/thermal management of dram memory for multi-core systems. ISLPED, pages 93-98,2009.
    Onur Mutlu, and Thomas Moscibroda. Parallelism-Aware Batch Scheduling:Enhancing both Performance and Fairness of Shared DRAM Systems. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA'08). Washington DC, USA. June, 2008.
    Yibo Chen, Yuan Xie, Yu Wang, Andres Takach. Parametric yield driven resource binding in behavioral synthesis with multi-Vth/Vdd library. ASPDAC'10.2010.
    Junbo Yu, Qiang Zhou. Behavioral level dual-Vth design for reduced leakage power with thermal awareness. DATE'10. March 2010.
    Gangyong Jia, Xi Li, Chao Wang, Xuehai Zhou, Zongwei Zhu. DTS:Using Dynamic Time-slice Scaling to Address the OS Problem Incurred by DVFS. The 2012 International Workshop on Power and QoS Aware Computing (PQoSCom'12) in conjunction with IEEE Cluster'12, 2012.
    陈娟,易会战,董勇,杨学军.能量受限的软件预取优化问题[J].软件学报,2006.
    彭蔓蔓;徐立超;王颖;异构多核处理器的任务分配及能耗的研究,计算机应用研究,2010年05期.
    高旭宏,李曦.动态时间片缩放:一种优化(?)inux任务公平性的方法.计算机系统应用.2012年21卷10期.
    毛熠璐,陈香兰,唐玲等.2010.分时系统最佳节能频率及其实现方法[J].中国科学院研究生院学报.27(3):404-413.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700