低偏斜、高能效的片上谐振时钟分布网络关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
时钟分布网络在同步电路系统中扮演着极为重要的角色,其设计优劣不仅决定同步系统功能的正确性,影响系统性能的高低,更是整个系统功耗的主要组成部分之一。
     本文面向高性能同步系统时钟分布网络设计的两大关键问题——时间不确定性和低功耗设计,深入研究了片上谐振时钟这一新兴时钟分布技术,提出了一套基于无缓冲谐振技术的时钟分布机制并对支持该机制的相关理论及关键电路进行了深入研究。该时钟机制及相应的设计方法不仅能够最小化局部谐振时钟网络的功耗,提高时钟偏斜对寄生参数差异及PVT变化的鲁棒性,还能够满足大规模、高性能同步系统或者多时钟域系统的设计需求,为整个系统提供低功耗、低偏斜及抖动的时钟分布网络。
     本文的主要研究成果和创新点有:
     1.提出了一种面向局部无缓冲谐振时钟网络的功耗优化策略。针对无缓冲谐振时钟网络的功耗最小化问题,提出了一种启发式的优化算法,通过SPICE分析对关键设计变量进行折中,包括时钟负载、片上电感、时钟互连网络以及能量补偿单元等。采用功耗优化算法对不同规模的标准测试电路进行了设计和优化,模拟结果表明,该功耗优化策略能够快速收敛并有效降低无缓冲谐振时钟网络的功耗开销。
     2.提出具有低时钟偏斜、高变化容忍的层次化无缓冲谐振时钟分布网络结构——HBRCDN。针对局部无缓冲谐振时钟网络的偏斜优化和鲁棒性问题,提出层次化的分布结构,将H树型和网格型互连结构的各自优势有效结合:在采用H树结构平衡时钟互连路径的同时,兼顾网格型无缓冲网络多扇出并联通路的特点。模拟结果显示,HBRCDN不仅能够降低谐振时钟网络的偏斜,避免非平衡时钟负载对偏斜的影响,并且对PVT变化具有良好的鲁棒性。在TSMC65nm标准CMOS工艺下进行了流片验证。
     3.面向大规模同步系统的谐振时钟网络全局设计问题,提出了一种局部紧耦合的无缓冲谐振时钟分布结构:首先将同步系统划分为多个局部时钟域,各时钟域具有相近的目标频率,采用HBRCDN结构降低时钟偏斜,相邻网络之间则通过片上耦合网络实现注入锁定。基于耦合振荡器阵列理论系统地分析了谐振时钟系统的频率及电压特性,并针对一款开源微处理器核进行了设计与分析,模拟验证结果表明:紧耦合的谐振时钟分布结构不仅保留了无缓冲谐振时钟网络低功耗、低偏斜和低抖动的特点,而且易于锁定,能够为高性能同步系统提供稳定的时钟信号。
     4.提出了一种面向多时钟域系统的混合谐振时钟机制。该结构在全局分布网络中使用行波振荡器阵列产生方波型时钟信号,而在各时钟域内部,则采用单个HBRCDN或多个紧耦合的HBRCDN结构得到低偏斜及低抖动的谐振信号。全局时钟分布网络由一种改进的行波振荡器——PPTWO构成的阵列提供行波时钟信号,通过时钟偏斜调整电路和注入锁定电路对局部谐振网络的相位进行锁定。与采用H树结构、基于注入锁定的全局谐振时钟分布网络相比,混合谐振时钟机制不仅能够满足大规模系统对全局时钟分布的需要,而且能够最小化局部时钟分布网络的功耗。
     综上所述,本文系统研究了面向高性能数字系统的片上无缓冲谐振时钟技术,针对现有无缓冲谐振时钟技术存在的设计复杂度高、受寄生参数差异及PVT变化影响大,以及设计规模受限等问题,提出了一套基于无缓冲谐振时钟分布技术的电路结构和设计方法,并从理论和实践两个方面对该技术的正确性和有效性予以了充分论证。理论分析和电路仿真结果表明:该方法不仅能够有效降低时钟网络的功耗开销,还可以为整个系统提供高频率、低偏斜和低抖动的同步信号。
     本文的研究成果对于促进片上谐振时钟技术在高性能数字系统中的研究和应用具有一定的理论价值和实际的工程意义。
Clock desitribution network plays an important role in the synchronous circuitsystem, which not only determines the correct function of synchronization system, butalso impacts the system performance, and contributes one of the main components ofpower consumption in the whole system.
     This dissertation focus on the two key issues of clock distribution network forhigh-performance synchronous system: timing uncertainty and low-power design. Viain-depth study of the emerging on-chip resonant clock technology, a clock distributionscheme based on bufferless resonant clocking is proposed, and the theory of themechanism and key circuits are researched in details. The present bufferless resonantscheme and corresponding design method can not only minimize the powerconsumption in local clock distribution, but also improve the robustness of clock skewover parasitic difference and PVT variations. Moreover, it can meet the requirements oflarge-scale, high-performance synchronous system or complex system with multipleclock domains, and provide a resonant clock distribution with low power dissipation,low skew and low jitter.
     The main research achievements and innovations described in this dissertation aresummarized as follows:
     1. A novel strategy to minimize power consumption in local bufferless resonantclocking network is proposed. Targeting at the problem of power minimization inbufferless resonant clocking distribution, a heuristic optimization algorithm is proposed,which trades-off the key design parameters through SPICE analysis, including the clockload, on-chip inductor, clock interconnection network, and energy compensating cell.The optimization algorithm is carried out on the standard benchmark circuits withdifferent sizes. Simulation results show that the power optimization strategy can quicklyconverge and effectively reduce the power consumption in the bufferless resonantclocking network.
     2. A novel hierarchical bufferless resonant clock distribution network--HBRCDNis proposed for low clock skew and high tolerance to variation. Aims at the skewoptimization and robustness in bufferless resonant CDN, a hierarchical structure ispresented, which combines the advantages of H-tree type and mesh-type together: beingwith the balanced path delay of H-tree while employing multi-fanout parallel paths ofmesh architecture. Simulation results show that, HBRCDN not only reduces the skew inresonant clock network and avoids the impact of unbalanced load, but also behavesgood robustness to the PVT variations. The proposed architecture is verified underTSMC65nm standard CMOS process technology.
     3. Targeting at the global design problem for large scale synchronous system, anovel resonant clocking structure with local close coupled network is presented. Firstly,the whole synchronous system is divided into several local regions, which have nearlythe same target frequency and low clock skew by employing HBRCDN structure. Theadjacent clocking networks are injection-locked to each other by on-chip couplingnetwork. Based on the theory of coupled oscallitor array, the frequency and voltagequality are studied systematically. Design and analysis are carried out through anopensource microprocess core. Simulation results show that, the close coupled resonantdistribution structure not only retain the characteristics of low power, low skew andjitter in bufferless resonant clock network, but also be easy to lock, and can providestable clock signals for high-performance synchronous system.
     4. A novel hybrid resonant clock mechanism is proposed for multi-clock domainsystem. The structure uses traveling wave oscillator array for the global square-wavedistribution network, and makes use of single HBRCDN or multiple close coupledHBRCDNs in each clock domain for low skew and jitter resonant clock. An improvedtraveling wave oscillator--PPTWO is presented to form an array. The global travelingwave signals are then adjusted by clock skew compensation circuit and phase-locked inlocal network by injection locking circuits. Compared with the H-tree structur based oninjection locking global resonant clock distribution, the hybrid resonant clockmechanism not only satisfy the needs of increasing scale of the global clocking, but alsominimizes the power consumption in the local clock network.
     In summary, the on-chip bufferless resonant clocking techniques for high-perfor-mance digital systems are systematically studied in this dissertation. In order to resolvethe problems such as high designing complexity, being sensitive to parasitic differenceand PVT variations, and limited for large scale synchronous circuits, a serious of novelcircuit techniques and design methodologies are proposed. Moreover, the correctnessand efficiency of the presented techniques and methods are verified thoroughly bytheoretical derivation and experimental simulations. The theoretical and simulationresults show that the techiniques and methods can effectively reduce the powerconsumption in the clock network, and provide high frequency, low-skew and low-jittersynchronous signal for the entire system.
     The achievements presented in this dissertation have academic and practicalengineering value to promoting the research and application of on-chip resonant clocktechniques for high-performance digital systems.
引文
[1] Fahim A M. Clock Generators for Soc Processors: Circuits and Architecture[M]. Kluwer Academic Publishers, London,2005.
    [2] Fischer T, Desai J, Doyle B, et al. A90-nm Variable Frequency Clock Systemfor a Power-Managed Itanium Architecture Processor [J]. IEEE Journal ofSolid-State Circuits,2006(1):218~228.
    [3] Stolt B, Mittlefehldt Y, Dubey S, et al. Design and Implementation of thePOWER6Microprocessor [J]. IEEE Journal of Solid-State Circuits,2008(43):21~28.
    [4] Tam S et al. Clock Generation and Distribution for a45nm,8-core Xeonprocessor with24MB[C]//Symp. VLSI Circuits Dig. Tech. Papers, Jun,2009,154~155.
    [5] Rusu S, Tam S, Muljono H, et al. A45nm8-Core Enterprise Xeon Processor[J], IEEE Journal of Solid-State Circuits,2010,45(1):7~14.
    [6] The International Technology Roadmap for Semiconductors:2011Edition.(EB/OL) http://www.itrs.net/Links/2011ITRS/Home2011.htm
    [7] Duarte D E, Vijaykrishnan N, Irwin M J. A Clock Power Model to EvaluateImpact of Architectural and Technology Optimizations [J]. IEEE Trans. OnVLSI Systems,2002,10(6):844~855
    [8] Friedman E G. Clock distribution networks in synchronous digital integratedcircuits [J]. Proc. of the IEEE,2001.89(5):665~692.
    [9] Nurmi J. Processor Design: System-on-Chip Computing for ASICs and FPGAs[M]. Springer, Berlin,2007
    [10] Oklobdzija V G and Sparso J. Future Directions in Clocking Multi-GHz System[C]//ISLPED,2002,219~219
    [11] Zhu Q K. High-Speed Clock Network Design [M]. Kluwer AcademicPublishers, Boston,2003.
    [12] Kihara M, Ono S and Eskelinen P. Digital Clocks for Synchronization andCommunications [M]. Artech House, Boston,2003.
    [13] Wolf W. Modern VLSI Design: System-on-Chip Design (Third EditionGravure)[M]. Beijing: Higher Education Press,2006.
    [14] Weste N H E, et al. CMOS VLSI Design: a Circuits and Systems Perspective(Third Edition)[M]. Pearson Education Press,2005.
    [15] Kany S M, Leblebici Y. MOS Digital Integrated Circuits: Analysis and Design(Third Edition Gravure)[M]. Beijing: Higher Education Press,2004.
    [16] Hodges D A, Jackson H G and Saleh R A. Analysis and Design of DigitalIntegrated Circuits: in deep submicron technology (Third Edition Gravure)[M].Beijing: Tsinghua University Press,2004.
    [17] Yamashita T, Fujimoto T and Ishibashi K. A Dynamic Clock SkewCompensation Circuit Technique for Low Power Clock Distribution [C]//IEEEInt. Conf. on Integrated Circuit and Technology,2005,7~10.
    [18] Mak, T M. Jitters in High Performance Mircoprocessor [C]//IEEE Int. TestConference,2008,1~6.
    [19] Chen C C P and Cheng E. Future SoC Design Challenges and Solutions [C]//InProc. of Int. Symposium on Quality Electronic Design,2002,534~537.
    [20] Duarte D, Irwin M J and Narayanan, V. Modeling Energy of the ClockGenaration and Distribution Circuitry [C]//The13th Annual IEEE Int.ASIC/SOC Conference,2000,261~265.
    [21] Khellah M, Ghoneima M, Tschanz J, et al. A Skew Repeater Bus Architecturefor on-chip Energy Reduction in Microprocessors [C]//Proc. of the2005Int.Conference on Computer Design,2005,253~257.
    [22] Friedman E G, et al. Clock Distribution Networks in VLSI Circuits andSystems [C]//Piscataway, NJ: IEEE Press,1995.
    [23] Friedman E G. High Performance Clock Distribution Network [M]. Norwell,MA: Kluwer,1997.
    [24] Tosik G, Gallego L M S and Lisik Z. Different Approaches for Clock SkewAnalysis in Present and Future Synchronous IC’s [C]//Proc. of EUROCON2007,2007,1227~1232.
    [25]冀蓉.基于非平衡时钟通路延时策略的一体化时钟偏斜调整技术研究[D].长沙:国防科学技术大学研究生院,2009.
    [26] The International Technology Roadmap for Semiconductors:2002Update.(EB/OL) http://www.itrs.net/Links/2002Update/Home.htm
    [27] Unger S H and Tan C J. Clocking Schemes for High-Speed Digital Systems [J].IEEE Trans. On Computers,1986, C-35:880~895.
    [28] Friedman E G and Mulligan J H. Clock Frequency and Latency in SynchronousDigital Systems [J]. IEEE Trans. On Signal Processing,1991,39(4):930~934.
    [29] Mader R, Friedman E G, Litman A and Kourtev I S. Large Scale Clock SkewScheduling Techniques for Improved Reliability of Digital Synchronous VLSICircuits [C]//IEEE Int. Symposium on Circuits and Systems,2002,357~360.
    [30] Jairath A, Sivasubramanian B, Velenis D. Block Placement for Reduced DelayUncertainty in High Performance Clock Distribution Network [C]//The48thMidwest Symposium on Circuits and Systems,2005,1454~1457.
    [31] Zarrabi H, Saaied H, Al-Khalili A J and Savaria Y. Zero Skew DifferentialClock Distribution Network [C]//Proc. of2006IEEE Int. Symposium onCircuits and Systems,2006,77~80.
    [32] Chang C M, Huang S H and Ho Y K. Type-Matching Clock Tree for ZeroSkew Clock Gating [C]//45th ACM/IEEE Design Automation Conference,2008,714~719.
    [33] Darapu R, Zhang C W, Forbes L. Analysis of Jitter in Clock DistributionNetworks [C]//IEEE Workshop on Microelectronics and Electron Devices,2004,45~47.
    [34] Strak A, Tenhunen H. Analysis of Timing Jitter in Inverters Induced byPower-Supply noise [C]//Int. Conference on Design and Test of IntegratedSystems in Nanoscale Technology,2006,53~56.
    [35] Mak T M. Jitters in High Performance Microprocessors [C]//IEEE Int.Conference on Test,2008,1~6.
    [36] Liu D and Svensson C. Power consumption in CMOS VLSI Chips [J]. IEEEJournal of Solid-State Circuits,1994,29(6):663~670.
    [37] Tran D, Kim K K, Kim Y B. Power Estimation in Digital CMOS VLSI Chips[C]//Proc. of the IEEE Instrumentation and Measurement TechnologyConference.2005,317~321.
    [38] Tiwari V, Singh D, Rajgopal S, Mehta G, Patel and Baez F. Reducing Power inHigh-Performance Microprocessors [C]//Proc. of the35th Annual DesignAutomation Conference (DAC’98),1998,732~737.
    [39] Duarte D, Narayanan V, Irwin M J. Impact of Technology Scaling in the ClockSystem Power [C]//The IEEE Computer Society Annual Symposium on VLSI,2002,52~57.
    [40] Duarte D, Narayanan V, Irwin M J. A Clock Power Model to Evaluate Impactof Architectural and Technology Optimizations—A Summary [J]. IEEECircuits and Systems Magazine,3rd Quarter2003,36~39.
    [41] Kartschok P and Geissler S. Timing Driven Wiring on an AdvancedMicroprocessor [C]//Proc. of Euromicro Symposium on Digital Systems Design,2001,408~413.
    [42] Yamashita K, Odanaka S. Interconnect Scaling Scenario Using a Chip LevelInterconnect Model [J]. IEEE Trans. On Electron Devices,2000,90~96.
    [43] Restle P J, McNamara T G, Webber D A, et al. A Clock Distribution Networkfor Microprocessors [J]. IEEE J. Solid-State Circuits,2001,36(5):792–799.
    [44] Chan S C, Restle P J, Shepard K L, James N K and Franch R L. A4.6GHzResonant Global Clock Distribution Network [C]//IEEE Int. Solid-StateCircuits Conference, Digest of Technical Papers,2004,342~343.
    [45] Chan S C, Shepard K L and Restle P J, Uniform-Phase, Uniform-Amplitude,Resonant-Load Global Clock Distributions [J]. IEEE J. Solid-State Circuits,2005,40(1):102–109.
    [46] Rosenfeld J, Friedman E G. Design Methodology for Global Resonant H-TreeClock Distribution Networks [J]. IEEE Trans. On VLSI Systems,2007,15(2):135-148
    [47] Zhang L, Ciftcioglu B, Huang M and Wu H. Injection-Locked Clocking: ANew GHz Clock Distribution Scheme [C]//IEEE Custom Integrated CircuitsConferen-ce,2006,785~788.
    [48] Zhang L, Carpenter A, Ciftcioglu B, et al. Injection-Locked Clocking: ALow-Power Clock Distribution Scheme for High-Performance Microprocessors[J], IEEE Trans. On VLSI Systems,2008,16(9):1251~1256.
    [49] Zhang L, Ciftcioglu B, Wu H. Active Deskew in Injection-Locked Clocking[C]//IEEE Custom Integrated Circuits Conference,2008,567~570.
    [50] Adler R, A Study of Locking Phenomena in Oscillators [J]. In Proc. IRE,1946,34:351~357.
    [51] Kurokawa K. Injection Locking of Microwave Solid-State Oscillators [J]. Proc.IEEE,1973,61(10):1386~1410.
    [52] Rategh H R and Lee T H. Superharmonic Injection-Locked Frequency Dividers[J]. IEEE J. Solid-State Circuits,1999,34(6):813~821.
    [53] York R A. Nonlinear Analysis of Phase Relationships in Quasi-OpticalOscillator Arrays [J]. IEEE Trans. On Microwave Theory and Tech,1993,41(10):1799~1809.
    [54] Razavi B. A Study of Injection Locking and Pulling in Oscillators [J]. IEEE J.Solid-State Circuits,2004,39(9):1415~1424.
    [55] Galton I, Towne D A, Rosenberg J J and Jensen H T. Clock Distribution UsingCoupled Oscillators [C]//Proc. IEEE Int. Symposium on Circuits and Systems,1996,217~220.
    [56] Mizuno H and Ishibashi K. A Noise-Immune GHz-Clock Distribution SchemeUsing Synchronous Distributed Oscillators [C]//IEEE Int. Solid-State CircuitsConference, Digest of Technical Papers,1998,404~405.
    [57] Wood J, Edwards T C and Lipa S, Rotary Traveling-Wave Oscillator Arrays: ANew Clock Technology [J]. IEEE J. Solid-State Circuits,2001,36(11):1654~1665.
    [58] Yu Z T, Liu X. Low-Power Rotary Clock Array Design [J]. IEEE Trans. OnVLSI Systems,2007,15(1):5~12.
    [59] Zhuo C, Zhang H F, Samanta R, Hu J and Chen K S, Modeling, Optimizationand Control of Rotory Traveling-Wave Oscillator [C]//IEEE/ACM Int.Conference on Computer-Aided Design,2007,476~480.
    [60] Wang R L, Koh C K, Jung B, and Chappel W J, Clock Generation andDistribution Using Traveling-Wave Oscillators with Reflection andRegeneration [C]//IEEE Custom Integrated Circuit Conference,2006,781~784.
    [61] Chi V L, Salphasic Distribution of Clock Signals for Synchronous Systems [J].IEEE Trans. Computer,1994,43(5):597~602.
    [62] Mahony F O, Yue C P, Horowitz M A and Wong S S. A10-GHz Global ClockDistribution Using Coupled Standing-Wave Oscillators [J]. IEEE J. Solid-StateCircuits.2003,38(11):1813~1820.
    [63] Mahony F O.10GHz Global Clock Distribution Using Coupled Standing-WaveOscillators [D]. Standford University,2004.
    [64] Sasaki M. A9.5GHz6ps-Skew Space-Filling-Curve Clock Distribution with1.8V Full-Swing Standing-Wave Oscillators [C]//IEEE ISSCC Dig. Tech.Papers,2008,518~519.
    [65] Sasaki M. A High-Frequency Clock Distribution Network Using InductivelyLoaded Standing-Wave Oscillators [J]. IEEE J. Solid-State Circuits,2009,44(10):2800~2807.
    [66] Chan S C, Shepard K L, and Restle P J. Distributed Differential Oscillators forGlobal Clock Networks [J]. IEEE J. Solid-State Circuits,2006,41(9):2083~2094.
    [67] Chueh J Y, Ziesler C H, and Papaefthymiou M C,900MHz to1.2GHzTwo-Phase Resonant Clock Network with Programmable Driver andLoading[C]//Proc. IEEE Custom Integrated Circuit Conference,2006,777~780.
    [68] Athas W C, Svensson L J, Koller J G, Tzartzanis N and Chou Y, Low-PowerDigital Systems Based on Adiabatic-Switching Principles [J]. IEEE Trans. VeryLarge Scale Integrated (VLSI) System,1994,2(4):398~407.
    [69] Athas W C, Svensson L J and Tzartzanis N. A Resonant Signal Driver forTwo-Phase, Almost-Nonoverlapping Clocks [C]//Proc. IEEE Int. SymposiumCircuits and Systems,1996,129~132.
    [70] Ahtas W C, Tzartzanis N, Svensson L J, Peterson L, et al. AC-1: AClock-Power Micropocessor [C]//Proc. IEEE Int. Symposium Low PowerElectronics and Design,1997,328~333.
    [71] Kim S, Ziesler C H and Papaefthymiou M C. Charge-Recovery Computing onSilicon [J]. IEEE Trans. On Computers,2005,54(6):651~659.
    [72] Ziesler C H, Kim S and Papaefthymiou M C. Energy Recovering ASIC Design[C]//IEEE Computer Society Annual Symposium on VLSI,2003,133~138.
    [73] Sathe V S, Chueh J Y and Papaefthymiou M C. Energy-Efficient GHz-ClassCharge-Recovery Logic [J]. IEEE J. Solid-State Circuits,2007,42(1):38~47.
    [74] Yu Z T, Liu X. Design of Rotary Clock Based Circuits [C]//Proc. of the44thAnnual Design Automation Conference,2007,43~48.
    [75] Yu Z T, Liu X. A610-MHz FIR Filter Using Rotary Clock Technique [C]//Proc. IEEE Custom Integrated Circuit Conference,2007,575~578.
    [76] Venkataraman G, Hu J, Liu F. Integrated Placement and Skew Optimization forRotary Clocking [J]. IEEE Trans. On VLSI Systems,2007,15(2):149~158.
    [77] Taskin B, Wood J, and Kourtev I S, Timing-Driven Physical Design for VLSICircuits Using Resonant Rotary Clocking [C]//The49th Midwest Symposiumon Circuits and Systems,2006,261~265.
    [78] Honkote V and Taskin B, Custom rotary clock router [C]//Proc. of IEEEInternational Conference on Computer Design (ICCD),2008,114~119.
    [79] Honkote V, Taskin B. Zero Clock Skew Synchronization with Rotary ClockingTechnolocy [C]//IEEE Int. Symposium on Quality Electronic Design,2009,588~593.
    [80] Honkote V, Taskin B. Skew Analysis and Bounded Skew ConstraintMethodology for Rotary Clocking Technology [C]//IEEE Int. Symposium onQuality Electronic Design,2009,413~417.
    [81] Honkote V, Taskin B. Skew-Aware Capacitive Load Balancing for Low-PowerZero Clock Skew Rotary Oscillatory Array [C]//Proc. of the2010Int.Conference on Computer Design,2010,209~214.
    [82] Honkote V, Taskin B. Analysis, Design and Simulation of Capacitive LoadBalanced Rotary Oscillatory Array [C]//IEEE23rd Int. Conference on VLSIDesign,2010,218~223.
    [83] Drake A J, Nowka K J, Nguyen T Y, Burns J L, Brown R B. Resonant ClockingUsing Distributed Parasitic Capacitance [J]. IEEE J. Solid-State Circuits,2004,39(3):1520~1528.
    [84] Chueh J Y, Sathe V, and Papaefthymiou M. Experimental Evaluation ofResonant Clock Distribution [C]//IEEE Computer Society Annual Symposiumon VLSI,2004,135~140.
    [85] Hansson M, Mesgarzadeh B and Alvandpour A.1.56GHz On-Chip ResonantClocking in130nm CMOS [C]//Proc. IEEE Custom Integrated CircuitConference (CICC),2006,241~244.
    [86] Mesgarzadeh B, Hansson M and Alvandpour A. Low-Power BufferlessResonant Clock Distribution Networks [C]//The50th Midwest Symposium onCircuits and Systems,2007,960~963.
    [87] Mesgarzadeh B, Hansson M and Alvandpour A. Jitter Characteristic in ChargeRecovery Resonant Clock Distribution [J]. IEEE J. Solid-State Circuits,2007,42(7):1618~1625.
    [88] Sathe V S, Kao J C and Papaefthymiou M C. Resonant-Clock Latch-BasedDesign [J]. IEEE J. Solid-State Circuits,2008,43(4):864~873.
    [89] Voss B and Glesner M. A Low Power Sinusoidal Clock [C]//Proc. IEEE Int.Symposium on Circuits and Systems,2001,108~111.
    [90] Kawaguchi H and Sakurai T. A Reduced Clock-Swing Flip-Flop for63%Power Reduction [J]. IEEE J. Solid-State Circuits,1998,33(5):804~811.
    [91] Matsui M, Hara H, Uetani Y, et al. A200MHz13mm22-D DCT MacrocellUsing Sense-Amplifying Pipeline Flip-Flop Scheme [J]. IEEE J. Solid-StateCircuits,1994,29(12):1482~1490.
    [92] Nikolic B, Oklob dija V G, Stojanovi V, Jia W Y, Chiu J K S and Leung M MT. Improved Sense-Amplifier-Based Flip-Flop: Design and Measurements [J].IEEE J. Solid-State Circuits,2000,35(6):876~884.
    [93] Kong B S, Kim S S, Jun Y H. Conditional-Capture Flip-Flop for StatisticalPower Reduction [J]. IEEE J. Solid-State Circuits,2001,36(8):1263~1271.
    [94] Cooke M, Mahmoodi-Meimand H, Roy K. Energy Recovery Clocking Schemeand Flip-Flops for Ultra Low Energy Applications [C]//Proc. of the Int.Symposium on Low Power Electronics and Design,2003,54~59.
    [95] Ghadiri A, Mahmoodi-Meimand H. Comparative Energy and Delay of EnergyRecovery and Square Wave Clock Flip-Flop for High-Performance andLow-Power Applications [C]//Proc. of the15th Int. Conference onMicroelectronics,2003,89~92.
    [96] Tirumalashetty V, Mahmoodi H. Clock Gating and Negative Edge Triggeringfor Energy Recovery Clock [C]//Proc. IEEE Int. Symposium on Circuits andSystems,2007,1141~1144.
    [97] Mahmoodi H, Tirumalashetty V, Cooke M and Roy K. Ultra Low-PowerClocking Scheme Using Energy Recovery and Clock Gating [J]. IEEE Trans.On VLSI Systems,2009,17(1):33~44.
    [98] Resonant Clock Networks (EB/OL). http://domino.watson.ibm.com/comm/research.nsf/pages/r.vlsi.innovation.html
    [99] Ziesler C H, Kim J, Sathe V S and Papaefthymiou M C. A225MHz ResonantClocked ASIC Chip [C]//Procee of the2003International Symposium onISLPED,2003,48~53.
    [100] Carbognani F, Buergin F, Felber N and Kaeslin H. Two-Phase ResonantClocking for Ultra-Low-Power Hearing Aid Applications [C]//IEEEConference on Design, Automation, and Test in Europe,2006,1~6.
    [101] Chan S C, Restle P J, Bucelot T J, et al. A Resonant Global Clock Distributionfor the Cell Broadband Engine Processor [J]. IEEE J. Solid-State Circuits,2009,44(1):64~72.
    [102] Ishii A T, Kao J C, Sathe V S and Papaefthymiou M C. A Resonant-Clock200MHz ARM926EJ-STM Microcontroller [C]//IEEE Conference ESSCIRC,2009,356~359.
    [103] Sathe V, Arekapudi S, Ouyang C, et al. Resonant Clock Design for aPower-Efficient High-Volume x86-64Microprocessor [C]//IEEE Int.Solid-State Circuits Conference,2012,68~70.
    [104] Reddy S M, Wilke G R and Murgai R, Analyzing Timing Uncertainty inMesh-Based Clock Architectures [C]//IEEE/ACM Proc. of DATE,2006,1:1~6.
    [105] Synopsys, HSPICE signal integrity user guide [Z].Version Z-2007.03, Mar.2007
    [106] Rabaey J M, et al. Digital integrated circuits: a design perspective [M].USA:Prentice Hall, Third Edition,2003:144~148.
    [107] Lee T H. The Design of CMOS Radio-Frequency Integrated Circuits [M]. USA:Cambridge University Press, Second Edition,2004:136~144.
    [108] Niknejad A M, Gharpurey R and Meyer R G. Numerically Stable GreenFunction for Modeling and Analysis of Substrate Coupling in IntegratedCircuits. IEEE Trans. On Computer-Aided Design Integrated Circuits andSystems.1998,17(4):305~315.
    [109] Fujishima M and Kinof J. Accurate Sub-circuit Model of an On-Chip Inductorwith a New Substrate Network [C]//Proc. Symposium on VLSI Circuits, Dig.Tech. Papers,2004:376~379.
    [110] Watson A C, Melendy D, Francis P, Hwang K and Weisshaar A. AComprehensive Compact-Modeling Methodology for Spiral Inductors inSilicon-Based RFICs [J]. IEEE Trans. On Microwave Theory and Tech.,2004,52(3):849~856.
    [111] Kang M, Gil J and Shin H. A Simple Parameter Extracting Method of SpiralOn-Chip Inductors [J]. IEEE Trans. On Electron Devices,2005,52(9):1976~1981.
    [112] Guo J C and Tan T Y. A Broadband and Scalable Model for On-Chip InductorsIncorporating Substrate and Conductor Loss Effects [J]. IEEE Trans. OnElectron Devices,2006,53(3):413~421.
    [113] Huang F, Jiang N and Bian E. Characteristic-Function Approach to ParameterExtraction for Asymmetric Equivalent Circuit of On-Chip Spiral Inductors [J].IEEE Trans. On Microwave Theory and Tech.,2006,54(1):115~119.
    [114] Yue C P and Wong S S. Physical Modeling of Spiral Inductors on Silicon [J].IEEE Trans. On Electron Devices,2000,47(3):560~568.
    [115] Burghartz J N and Rejaei B. On the Design of RF Spiral Inductors on Silicon[J]. IEEE Trans. On Electron Devices,2000,50(3):718~729.
    [116] Scuderi A, Biondi T, Ragonese E and Palmisano G. A Lumped Scalable Modelfor Silicon Integrated Spiral Inductors [J]. IEEE Trans. On Circuits and SystemsI: Regular Papers,2004,51(6):1203~1209.
    [117] Tong K Y and Tsui C. A Physical Analytical Model of Multiplier On-ChipInductors [J]. IEEE Trans. On Microwave Theory and Tech.,2005,53(4):1143~1149.
    [118] Murphy O H, McCarthy K G, Delabie C J P, Murphy A C and Murphy P J.Design of Multiple-Metal Stacked Inductors Incorporating an ExtendedPhysical Model [J]. IEEE Trans. On Microwave Theory and Tech.,2005,53(6):2063~2072.
    [119] Rotella F, Bhattacharya B K, Blaschke V, et al. A Broad-band Lumped ElementAnalytic Model Incorporating Skin Effect and Substrate Loss for Inductors andInductor Like Components for Silicon Technology Performance Assessmentand RFIC Design [J]. IEEE Trans. On Electron Devices,2005,50(3):1429~1441.
    [120] Talwalkar N A, Yue C P and Wong S S. Analysis and Synthesis of On-ChipSpiral Inductors [J]. IEEE Trans. On Electron Devices,2005,52(3):176~182.
    [121] Huo X. Chan P C H, Chen K J and Luong H C. A Physical Model for On-ChipSpiral Inductors with Accurate Substrate Modeling [J]. IEEE Trans. OnElectron Devices,2006,53(12):2942~2949.
    [122] Huang F, Lu J, Jiang N, Zhang X, Wu W and Wang Y. Frequency-IndependentAsymmetric double-π Equivalent Circuit for On-Chip Spiral Inductors: Physics-Based Modeling and Parameter Extraction [J]. IEEE J. Solid-State Circuits,2006,41(10):2272~2283.
    [123] Chen J and Liou J J. Improved and Physics-Based Model for SymmetricalSpiral Inductors [J]. IEEE Trans. On Microwave Theory and Tech.,2006,53(6):1300~1309.
    [124] Vecchi F, Repossi M, Mazzanti A, Arcioni P and Svelto F. A Simple andComplete Circuit Model for The Coupling Between Symmetrical SpiralInductors in Silicon RF-ICs [C]//IEEE Symposium of Radio FrequencyIntegrated Circuits,2008:479~482.
    [125] Wang C, Liao H L, Li C, Huang R, Wong W S, Zhang X and Wang Y Y. AWideband Predictive “Double-π” Equivalent Circuit Model for On-Chip SpiralInductors [J]. IEEE Trans. On Electron Devices,2009,56(4):609~619.
    [126] Agilent Technologies, ADS Momentum [EB/OL]. http://cp.literature.agilent.com/litweb/pdf/5989-7015EN.pdf
    [127] Ansoft Corporation, HFSS [EB/OL]. http://www.ansoft.com/products/hf/hfss/
    [128] ISCAS99benchmark circuits [EB/OL]. http://www.pld.ttu.ee/~maksim/bench-marks/iscas99/
    [129] Rusu S. Clock Generation and Distribution in High-Performance Proce-ssors[C]//Proc. of2004Int. Symposium on System-on-Chip,2004,207~221.
    [130] Zeng X, Zhou D and Li W. Buffer Insertion for Clock Delay and SkewMinimization [C]//ACM Int. Symposium on Physical Design,1999:36~41.
    [131] El-Moursy M A, Friedman E G. Exponentially Tapered H-tree ClockDistribution Networks [J]. IEEE Trans. On Very Large Scale IntegrationSystems,2005,13(8):971~975
    [132] Chaturvedi R and Hu J. Buffered Clock Tree for High Quality IC Design [C]//Proc. of the IEEE Int. Symposium on Quality Electronic Design.2004,381~386.
    [133] Salek A H, Lou J and Pedram M. Hierarchical Buffered Routing TreeGeneration [J]. IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems,2002,21(5):554~567.
    [134] Rajaram A, Hu J and Mahapatra R. Reducing Clock Skew Variability via CrossLinks[C] IEEE DAC,2004:18~23.
    [135] Chen P Y, Ho K H and Hwang T T. Skew Aware Polarity Assignment in ClockTree [C]//IEEE/ACM International Conference on Computer-Aided Design,2007,376~379.
    [136] Chen H, Yeh C, Wilke G, Reddy S, Nguyen H, Walker W and Murgai R, ASliding Window Scheme for Accurate Clock Mesh Analysis [C]//Proc. ICCAD,2005,939~946.
    [137] Shinya A, Hashimoto M and Onoye T. Clock Skew Evaluation ConsideringManufacturing Variability in Mesh-Style Clock Distribution [C]//Proc. of9thInt. Symposium on Quality Electronic Design,2008,520~525.
    [138] Wilke G and Reis R. A New Clock Mesh Buffer Sizing Methodology for Skewand Power Reduction [C]//IEEE Computer Society Annual Symposium onVLSI,2008,227~232.
    [139] Shih X W, Lee H C, Ho K H and Chang Y W. High Variation-TolerantObstacle-Avoiding Clock Mesh Synthesis with Symmetrical Driving Trees[C]//IEEE/ACM Int. Conference on Computer-Aided Design,2010,452~457.
    [140] Rajaram A, Pan D Z. MeshWorks: A Comprehensive Framework for OptimizedClock Mesh Network Synthesis [J]. IEEE Trans. On Computer-Aided Design ofIntegrated Circuit and Systems,2010,29(12):1945~1958.
    [141] York P A, Compton R C. Quasi-Optical Power Combining Using MutuallySynchronized Oscillator Arrays [J]. IEEE Trans. On Microwave TheoryTechnolo-gy,1991,39(6):1000~1009.
    [142] Liao P and York P A. A New Phase-Shifterless Beam-Scanning TechniqueUsing Arrays of Coupled Oscillators [J]. IEEE Trans. On Microwave TheoryTechnology,1993,41(10):1810~1815.
    [143] York P A, Compton R C. Measurement and modeling of radiative coupling inoscillator arrays [J]. IEEE Trans. On Microwave Theory Technology,1993,41(3):438~444.
    [144] Lynch J J and York R A. Synchronization of Oscillators Coupled ThroughNarrow-Band Networks [J]. IEEE Trans. On Microwave Theory Technology,2001,49(2):1799~1809.
    [145] York R A, Liao P and Lynch J J. Oscillator Array Dynamics with Broad-BandN-Port Coupling Networks [J]. IEEE Trans. On Microwave Theory Technology,1994,42(11):2040~2045.
    [146] Chang H C, Shapiro E S and York P A. Influence of the Oscillator EquivalentCircuit on the Stable Modes of Parallel-Coupled Oscillators [J]. IEEE Trans. OnMicrowave Theory Technology,1997,45(8):1232~1239.
    [147]陈树柏.网络图论及其应用[M].北京:科学出版社,1982:273~278.
    [148] Pogorzelski R J, Maccarini P F and York R A. A Continuum Model of theDynamics of Coupled Oscillator Arrays for Phase Shifterless Beam-Scanning[J]. IEEE Trans. On Microwave Theory Technology,1999,47(4):463~470.
    [149] Pogorzelski R J. On the Dynamics of Two-Dimensional Array Beam Scanningvia Perimeter Detuning of Coupled Oscillator Arrays [J]. IEEE Trans. OnAntennas and Propagation,2001,49(2):234~242.
    [150] Pogorzelski R J. Two-Dimensional Array Beam Scanning via Externally andMutually Injection-Locked Coupled Oscillators [J]. IEEE Trans. On Antennasand Propagation,2001,49(2):243~249.
    [151] Pogorzelski R J. On the Design of Coupling Networks for Coupled OscillatorArrays [J]. IEEE Trans. On Antennas and Propagation,2003,51(4):794~801.
    [152] Pogorzelski R J. A5-by-5Element Coupled Oscillator-Based Phased Array [J].IEEE Trans. On Antennas and Propagation,2005,53(4):1337~1345.
    [153] OpenSPARC T2Processor [EB/OL]. http://www.opensparc.net/opensparc-t2/download.html
    [154] Niranjan A P, Wiscombe P. Islands of Synchronicity, a Design Methodologyfor Soc Design [C]//IEEE/ACM Proc. of DATE,2004,3:64~69.
    [155] Chelcea T and Nowick S M. A low-Latency FIFO for Mixed-clock Systems[C]//IEEE Proc. of Computer Society Workshop on VLSI,2000:119~126.
    [156] Chelcea T and Nowick S M. Robust Interfaces for mixed-timing systems [J].IEEE Trans. On VLSI Systems,2004,12(8):857~873.
    [157] Bormann D S, Cheung P Y K. Asynchronous Wrapper for HeterogeneousSystems [C]//Proc. IEEE Int. Conference on Computer Design,1997:307~314.
    [158] Mutterbach J, Villiger T and Fichtner W. Practical Design of Globally-Asynchronous Locally-Synchronous Systems [C]//Proc. Int. Symposium onAdvanced Research in Asynchronous Circuits and Systems,2000:52~59.
    [159] Sidiropopulos S and Horowitz M. A Semi Digital Dual Delay-Locked Loop [J].IEEE J. Solid-State Circuits,1997,32(6):1683~1692.
    [160] Dehng G K, Hsu J M, Yang C Y and Liu S I. Clock-Deskew Buffer Using aSAR-Controlled Delay-Locked Loop [J]. IEEE J. Solid-State Circuits,2000,35(8):1128~1136.
    [161] Bae S J, Chi H J, Sohn Y S and Park H J. A VCDL-Based60-760-MHzDual-Loop DLL with Infinite Phase-Shift Capability and Adaptive-BandwidthScheme [J]. IEEE J. Solid-State Circuits,2005,40(5):1119~1129.
    [162] Kim B G, Kim L S. A250-MHz-2-GHz Wide-Range Delay Locked Loop [J].IEEE J. Solid-State Circuits,2005,40(6):1310~1321.
    [163] Wang Y J, Kao S K, Liu S I. All-Digital Delay-Locked Loop/Pulsewidth-Control Loop with Adjustable Duty Cycles [J]. IEEE J. Solid-State Circuits,2006,41(6):1262~1274.
    [164] Shim Y, Joo Y, Kim S. A Register Controlled Delay Locked Loop Using aTDC and a New Fine Delay Line Scheme [C]//IEEE Proceedings of ISCAS,2006:3922~3925.
    [165] Saeki T, Minami K, et al. The Direct Skew Detect Synchronous Mirror Delay(Direct SMD) for ASICs [C]//IEEE Custom Integrated Circuits Conference,1998:511-514.
    [166] Lee J D, Kwon H I, et al. Synchronous Mirror Delay for Zero-and Multi-phaseLocking [J]. IEEE J. Solid-State Circuits,2002,40(1):87~89.
    [167] Sung K and Kim L S. A High-Resolution Synchronous Mirror Delay UsingSuccessive Approximation Register [J]. IEEE J. Solid-State Circuits,2004,39(11):1943~1951.
    [168] Cheng K H, Wu C L, et al. A Phase-Detect Synchronous Mirror Delay forClock Skew-Compensation Circuits [C]//IEEE Int. Symposium on Circuits andSystems,2005:1070~1073.
    [169] Kromer C, Sialm G, Menolfi C, et al. A25-Gb/s CDR in90-nm CMOS forHigh-Density Interconnects [J]. IEEE J. Solid-State Circuits,2006,41(12):2921~2929.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700