动态重构系统若干关键问题的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
以通信和多媒体技术为代表的应用需求的迅速发展,对传统的微处理器和ASIC的性能提出了更高的要求。VLSI技术的进步促进了以FPGA为代表的可重构硬件的快速发展,尤其是具有动态部分重构能力的可重构硬件的出现,使可重构计算成为解决这类问题的重要方法。但是,限于目前可重构硬件的系统结构和重构技术等方面的发展现状,可重构计算的实用化还存在诸多挑战性问题。针对微处理器和可重构硬件构成的动态重构系统,本文重点研究了系统的重构方式、可重构资源管理、硬件任务的调度与布局以及软硬件划分等问题,并给出了相应的解决方案和实验分析,为进一步研究可重构计算及其实用化打下了良好的基础。本文的主要研究内容包括:
     在分析FPGA配置结构和动态模块对应的部分位流结构的基础上,实现了一种动态模块的重定位方法。基于模块的部分重构是降低系统重构开销的一种有效方法,但由于部分位流是在FPGA的某位置上预综合得到的,配置到FPGA上并启动该电路模块的执行也必须是在相同的位置,因此会导致时间上相互交叉的模块产生冲突。利用重定位技术可以根据需要将该模块转移到同构资源的空闲位置上,能够提高系统的执行效率。
     提出了一种基于配置页的可重构资源管理方法,并基于Virtex II平台FPGA实现了相应的原型系统。系统对不同的可重构资源进行分类建模,分析了配置页尺寸的确定方法,并以配置页为基本单位进行管理。运行时可以根据应用需求分配一段由不同数量的连续配置页构成的逻辑区域,以及回收和合并空闲配置页等,有效地实现了1D划分下的可重构资源管理。
     提出了可重构硬件的一种2D区域模型,并给出了该模型下可重构资源管理和硬件任务布局的算法及实验分析。基于任务上边界的最大空闲矩形保持算法能够有效地管理可重构硬件上的空闲资源,便于在运行时动态分配与回收可重构资源以及使用FF和启发式BF算法实现硬件任务的在线布局。与1D划分相比,能够提高可重构硬件的资源利用率,从而提高系统的性能。
     基于可重构硬件的动态部分重构能力,采用2D区域模型进行可重构资源的分配与回收,并考虑硬件任务的重构延时和并发执行等特性,采用遗传算法和爬山算法实现了面向动态重构系统的软硬件划分,其中对划分结果的评价使用了动态优先级调度算法。软硬件划分能够有效地将任务流图表示的应用调度到系统中的微处理器和可重构硬件上,以充分发挥两者各自的优势,达到优化动态重构系统性能的目标。
With the rapid increase of the computing requirement from some applications such as communication and multimedia technologies, the performance improvement of traditional processors and ASICs has been required greatly. Due to the advancement of the VLSI technology and the reconfigurable hardware such as FPGA, the reconfigurable computing has become an important resolution for these kinds of applications, especially the advent of the partially run-time reconfigurable ability of reconfigurable hardware. But, it is very difficulty for reconfigurable computing to become actual universal and high performance computing system with the current reconfigurable hardware architecture and reconfiguration technology. Based on the dynamical reconfigurable system composed of the microprocessor and reconfigurable hardware, some important issues have been studied in this dissertation. For example, the reconfiguration schemes, the management of reconfigurable resources, the scheduling and placement of hardware task and the hardware/software partitioning. Some solutions are proposed and the experiment results are analyzed. The results show that they are benefit for the reconfigurable computing and its practicality. All of the researches are as follows.
     Based on the analysis of the configuration architecture of FPGA and the structure of partial bitstream for dynamical module, the Dynamical Module ReLocation method (DMRL) is implemented. Module based partial reconfiguration is efficient for decreasing the reconfiguration overhead. The partial bitstream was generated on some area of FPGA in advance. So this dynamical module won’t be configured rightly when its original area has been occupied by another running module. The dynamical module can be shifted to another empty area on the homogeneous resource using DMRL method. And the execution efficiency of the reconfigurable system will be improved.
     The configuration page based reconfigurable resource management is proposed and the prototype system is implemented on the Virtex II platform FPGA. The different reconfigurable resource is modeled respectively and organized by the configuration page. And the size of the configuration page is discussed. Different number of continuous configuration pages can be allocated to a hardware task at run time. Unused configuration pages can be reclaimed and some neighboring configuration pages can be merged. The reconfigurable resource management is implemented effectively in one-dimensional area model.
     A two-dimensional area model of reconfigurable hardware is proposed in this dissertation. The reconfigurable resource management and the hardware task placement are presented based on the two-dimensional area model. The unoccupied resources on the reconfigurable hardware can be effectively managed by the Task-Top based Keep All Maximal Empty Rectangles algorithm. It facilities the dynamical allocation and reclamation of the reconfigurable resource, and the FF and heuristic BF algorithms to select a Maximal Empty Rectangle to place hardware task at run time. Compared to the one-dimensional area model, the utilization per cent of reconfigurable hardware is improved, and so the system performance.
     Based on the two-dimensional area model of the partially dynamical reconfigurable hardware, the hardware/software partitioning for the dynamical reconfigurable system is implemented by the genetic algorithm and hill-climb algorithm, where the configuration delay and parallel execution of hardware tasks are considered. And the partitioning results are evaluated by the dynamical priority scheduling algorithm. The application presented by the task flow graph can be scheduled to the microprocessor and reconfigurable hardware effectively using the partitioning algorithm. The results show that the partitioning algorithm is helpful for them to cooperate with each other and improve their performance.
引文
[1]. Chris Rowen 著, 吴武臣, 侯立刚译. 复杂 SoC 设计, 机械工业出版社, 2006.8
    [2]. R. Hartenstein. Are we really ready for the breakthrough? (Keynote). Proc. of IPDPS’03, Santa Fe, New Mexico, Apr. 2003
    [3]. R. Hartenstein. Reconfigurable computing: a new business model - and its impact on SoC design (invited embedded tutorial). IEEE, 2001, 103-110
    [4]. K. Bondalapati, V. K. Prasanna. Reconfigurable computing systems. Proc. of the IEEE, Jul. 2002, 90 (7): 1201-1217
    [5]. Xilinx. http://www.xilinx.com
    [6]. Altera. http://www.altera.com
    [7]. Atmel. http:// www.atmel.com
    [8]. R. Hartenstein. A decade of research on reconfigurable computing: a visionary retrospective (embedded tutorial). DATE’01, Munich, Mar. 2001
    [9]. R. Hartenstein. Coarse grain reconfigurable architecture (embedded tutorial). Proc. of the 2001 Conference on Asia South Paciic design automation, Japan, 2001, 564-570
    [10]. A. Dehon, H. Wawrzynek. Reconfigurable computing: what, why, and implications for design automation. Proc. of Design Automation Conf., New Orleans, LA, Jun. 1999, 610-615
    [11]. R. Enzler. The current status of reconfigurable computing. Technical report, Electronics Lab, Swiss Federal Institute of Technology (ETH), Zurich, Jul. 1999
    [12]. B. Radunovic. An overview of advances in reconfigurable computing systems. Proc. 32nd HICSS’99, Hawaii, Jan. 1999
    [13]. M. Yamashina, M. Motomura. Reconfigurable computing: its concept and a practical embodiment using newly developed reconfigurable logic (DRL) LSI. Proc. ASP-DAC’00, Asia and South Pacific, Jan. 2000
    [14]. M. C. Smith, S. L. Drager, L. Pochet, et al. High performance reconfigurable computing systems. Proc. of the 44th IEEE 2001 Midwest Symposium on Circuits and Systems, 2001, 1: 562-565
    [15]. K. Compton, S. Hauck. Reconfigurable computing: a survey of systems and software. ACM Computing Surveys, Jun. 2002, 34 (2): 171-210
    [16]. 郑纬民, 汤志忠. 计算机系统结构(第二版). 北京: 清华大学出版社, 2001
    [17]. D. Mesquita, F. Moraes, J. Palma. Remote and partial reconfiguration of FPGAs: tools and trends. Proc. of International Parallel and Distributed Processing Symposium, Nice, France, 2003, 22-26
    [18]. P. M. Atheneus, H. F. Silveman. Processor reconfiguration through instruction set metamorphosis. IEEE Computer, Mar. 1993, 26 (3): 11-18
    [19]. M. J. Wirthlin, B. L. Hutchings. A dynamic instruction set computer. Proc. of IEEE Workshop on FPGAs for Custom Computing Machines, Apr. 1995, 99-107
    [20]. J. M. Arnold, D. A. Buell, D. T. Hoang. The splash 2 processor and applications. Proc. International Conference on Computer Design, Oct. 1993, 482-485
    [21]. E. Caspi, M. Chu, R. Huang, et al. Stream computations organized for reconfigurable execution (SCORE): introduction and tutorial. Proc. of the 10th International on Field-Programmable Logic and Applications, Villach, Austria, Aug. 2000
    [22]. M. Sima, S. Vassiliadis, S. Cotofana, et al. A taxonomy of custom computing machines. Proc. of Progress Workshop on Embedded Systems (Progress 2000), Utrecht, The Netherlands, Oct. 2000, 87-93
    [23]. B. L. Hutchings, M. J. Wirthlin. Implementation approaches for reconfigurable logic applications. International Workshop on Field-Programmable Logic and Applications, FPL, 1995, 419-428
    [24]. R. Moseley. Transcending static deployment of circuits: dynamic run-time systems and mobile hardware processors for FPGAs: [dissertation]. The University of Kent at Canterbury, Sept. 2002
    [25]. G. Brebner, O. Diessel. Chip-based reconfigurable task management. Proc. of the 11st International. Workshop on Field Programmable Gate Arrays (FPL), Springer, 2001, 182-191
    [26]. P. Chow, S. O. Seo, J. Rose, et al. The design of an SRAM-based Field-Programmable Gate Array - Part I: Architecture. IEEE Transaction on Very Large Scale Integration (VLSI) Systems, 1999, 7 (2): 191-197
    [27]. A. DeHon. Balancing interconnect and computation in a reconfigurable computing array (or, why you don’t really want 100% LUT utilization). Proc. of ACM/IEEE Symposium on FPGAs (FPGA). 1999, 69-77
    [28]. J. G. Eldredge, B. L. Hetchings. RRANN: the run-time reconfiguration artificial neural network. IEEE custom integrated circuits conference, San Diego, CA, 1994, 77-80
    [29]. G. Wigley, D. Kearney. The first real operating system for reconfigurable computers. Australian Computer Systems Architecture Conference, Australia: Queensland, 2000, 129-136
    [30]. V. Nollet, J. Y. Mignolet, T. D. Bartic, et al. Hierarchical run-time reconfiguration managed by an operating system for reconfigurable systems. Proc. of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA). Nevada: Las Vegas, 2003, 81-87
    [31]. B. Krishnamoorthy, T. Srikanthan. A hardware operating system based approach for run-time reconfigurable platform of embedded devices. The 6th Real-Time Linux Workshop, Singapore, 2004, 111-116
    [32]. B. Mei, S. Vernalde, D. Verkest, et al. DRESC: a retargetable compiler for coarse-grainedreconfigurable architectures. International Conference on Field Programmable Technology. 2002
    [33]. T. J. Callahan, J. R. Hauser, J. Wawrzynek. The Garp architecture and C compiler. Computer, 2000, 33(4): 62-69
    [34]. R. Harr. The nimple complier for agile hardware: a research platform. Proc. of the 13th International Symposium on System Synthesis. 2000
    [35]. M. Keating, P. Bricaud. 片上系统-可重用设计方法学. 第三版. 沈戈, 罗旻, 张欣, 等译. 北京: 电子工业出版社,2004
    [36]. 潘松, 王国栋. VHDL 实用教程. 成都: 电子科技大学出版社, 2000
    [37]. J. Bhasker. Verilog HDL 硬件描述语言. 徐振林, 等译. 北京: 机械工业出版社, 2000
    [38]. D. Ross, O. Vellacott, M. Turner. An FPGA-based hardware accelerator for image processing. Proc. of the 1993 International workshop on field-programmable logic and applications. England: Oxford, 1993, 299-306
    [39]. J. Hadley, B. Hutchings. Design methodologies for partially reconfigured systems. IEEE Workshop on FPGAs for Custom Computing Machines, 1995, 78-84
    [40]. J. Castillo, P. Huerta, V. Lopez, et al. A secure self-reconfiguring architecture based on open-source hardware. International Conf. on Reconfigurable Computing and FPGAs. 2005
    [41]. B. Blodege, S. McMillan. A lightweight approach for embedded reconfiguration of FPGAs. DATE’03. 2003, 399-400
    [42]. B. Blodege, P. J. Roxby, E. Keller, et al. A self-reconfiguring platform. FPL 2003, LNCS 2778. 2003, 565-574
    [43]. Xilinx Inc., Virtex-II Platform FPGA User Guide, v1.5, 2002
    [44]. J. R. Hauser, J. Wawrzynek. Garp: A MIPS processor with a reconfigurable coprocessor. Proc. IEEE Symp. on FPGAs for Custom Computing Machines, California: Napa Valley, 1997, 12-21
    [45]. S. Perissakis, Y. Joo, J. Ahn, et al. Embedded DRAM for a reconfigurable array. Proc. of the 1999 Symposium on VLSI Circuits. Jun. 1999
    [46]. S. C. Goldstein, H. Schmit, M. Budiu, et al. PipeRench: a reconfigurable architecture and compiler. IEEE Computer, Apr. 2000, 33 (4): 70-77
    [47]. S. Cadambi, J. Weener, S. C. Goldstein, et al. Managing pipeline-reconfigurable FPGAs. The 6th International Symposium on Field Programmable Gate Arrays, Monterey, California, 1998, 55-64
    [48]. G. Sassatelli, L. Torres, P. Benoit, et al. Highly scalable dynamically reconfigurable systolic ring-architecture for DSP applications. Proc. of the 2002 Design, Automation and Test in Europe Conference and Exhibition, 2002
    [49]. K. Bazargan, M. Sarrafzadeh. Fast online placement for reconfigurable computing systems. IEEE Symposium of Field Programmable Custom Computing Machines. 1999. 300-302
    [50]. K. Bazargan, R. Kastner, M. Sarrafzadeh. Fast template placement for reconfigurable computing systems. IEEE Design and Test of Computers, 2000, 17: 68-83
    [51]. M. Koester, M. Porrmann, H. Kalte. Task placement for heterogeneous reconfigurable architectures. ICFPT 2005, 43-50
    [52]. Xilinx Inc. Two flows for partial reconfiguration: module based or difference based. http://direct.xilinx.com/bvdocs/appnotes/xapp290.pdf, 2003
    [53]. H. Kalte, D. Langen, E. Vonnahme, et al. Dynamically reconfigurable system-on-programmable-chip. Proc. of the 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing (PDP’02), Gran Canaria, Spain, 2002
    [54]. H. Kalte, M. Porrmann, U. Ruckert. Systme-on-programmable-chip approach enabling online fine-grained 1D-placement. Proc. of the 11st Reconfigurable Architectures Workshop (RAW 2004), Santa Fe, new Mexio, USA, Apr. 2004
    [55]. H. Walder, C. Steiger, M. Platzner. Fast Online Task Placement on FPGAs: Free Space Partitioning and 2D hashing. International Parallel and Distributed Processing Symposium (IPDPS’03), Apr. 2003
    [56]. M. Handa, R. Vemuri. An Efficient Algorithm for Finding Empty Space for Online FPGA Placement. Proc. of the 41st Design Automation Conference, Jun. 2004
    [57]. A. Ahmadinia, C. Bobda, T. J¨urgen. A New Approach for On-line Placement on Reconfigurable Devices. Proc. of the International Parallel and Distributed Processing Symposium (IPDPS’04), Reconfigurable Architectures Workshop (RAW’04), IEEE-CS Press, Santa F NM, USA, Apr. 2004
    [58]. A. Ahmadinia, C. Bobda, S. P. Fekete, et al. Optimal Free-Sapce Management and Routing-Conscious Dynamic Placement for Reconfigurable Devices. In Proc. of the 14th International Conference on Field-Programmable Logic and Application, 2004
    [59]. A. Ahmadinia, C. Bobda. A Dynamic Scheduling and Placement Algorithm for Reconfigurable Hardware. ARCS 2004: 125-139
    [60]. J. Tabero, J. Steptien, H. mecha, et al. A Vertex-list Approach to 2D HW Multitasking Management in RTR FPGAs. DCIS 2003, Cludad Real, Spain, 2003, 545-550
    [61]. J. Tabero, J. Septien, H. Mecha, D. Mozos. Task Placement Heuristic Based on 3D-Adjacency and Look-Ahead in Reconfigurable Systems. Asia and South Pacific Conference on Design Automation, 2006
    [62]. K. Bazargan, R. Kastner, M. Sarrafzadeh. 3-D floorplanning: simulated annealing and greedy placement methods for reconfigurable computing systems. IEEE international Workshop on Rapid System Prototyping, Jul. 1999, 38-43
    [63]. G. D. Micheli, R. K. Gupta. Hardware/Software Co-Design. Proc. of the IEEE. 1997, 85 (3): 349-365
    [64]. W. Wolf. A Decade of Hardware/Software Codesign. IEEE Computer, 2003, 36: 38-43
    [65]. 张鲁峰, 李思昆, 刘功杰. 嵌入式系统软硬件划分方法研究. 计算机应用, 2000, 20(增刊): 221-223
    [66]. M. Kaul, R. Vemuri. Temporal partitioning combined with design space exploration for latency minimization of run-time reconfigured designs. Proc. of the Design, Automationand Test in Europe and Exhibition 1999, Mar. 1999, 202-209
    [67]. K. S. Chatha, R. Vemuri. An iterative algorithm for hardware-software partitioning, hardware design space exploration and scheduling. Design Automation for Embedded Systems, 2000, 5: 281-293
    [68]. J. Noguera, R. M. Badia. Run-time HW/SW codesign for discrete event systems using dynamically reconfigurable architectures. ISSS’00. Spain: Madrid, 2000
    [69]. J. Noguera, R. M. Badia. Dynamic run-time HW/SW scheduling techniques for reconfigurable architectures. CODES’02, 2002, 205-210
    [70]. R. P. Dick, N. K. Jha. CORDS: Hardware-software cosynthesis of reconfigurable real-time distributed embedded systems. Proc. International Conf. on Computer-Aided Design. 1998, 62-68
    [71]. B. P. Dave. CRUSADE: Hardware/software co-synthesis of dynamically reconfigurable heterogeneous real-time distributed embedded systems. Proc. Design, Automation and Test in Europe Conference. 1999, 97-104
    [72]. B. P. Dave, G. Lakshminarayana, N. K. Jha. COSYN: hardware-software co-synthesis of embedded systems. DAC’97, Anaheim, California, 1997, 703-708
    [73]. B. Mei, P. Schaumont, S. Vernalde. A hardware/software partitioning and scheduling algorithm for dynamically reconfigurable embedded systems. Proc. of ProRISC. 2000
    [74]. S. Banerjee, E. Bozorgzadeh, N. Dutt. Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration. Proc. of the 42nd Design Automation Conference 2005, California, USA, Jun. 2005, 335-340
    [75]. S. Ganesan, A. Ghosh, R. Vemuri. High-level synthesis of designs for partially reconfigurable FPGAs. Proc. of 2nd annual Military and Aerospace Applications of Programmable Devices and Technologies Conference, MAPLD. 1999
    [76]. 王诚, 薛小刚, 钟信潮. FPGA/CPLD 设计工具-Xilinx ISE 使用详解. 北京: 人民邮电出版社, 2005
    [77]. J. Bhasker. SystemCTM 基础教程. 孙海平, 等译. 北京: 清华大学出版社, 2004
    [78]. Celoxica. Handle-C for hardware design (White paper). 2002
    [79]. Xilinx Inc. Virtex-II platform FPGAs: complete data sheet (DS031), v3.4, Mar. 2005
    [80]. The CoreConnectTM Bus Architecture. http://www-306.ibm.com/chips/techlib/techlib.nsf /techdocs/852569B20050FF77852569910050C0FB/$file/crcon_wp.pdf
    [81]. AMBA Specification (Rev 2.0), May 1999, http://www.gaisler.com/doc/amba.pdf
    [82]. Wishbone system-on-chip (SoC) interconnection architecture for portable IP cores (Rev. B.3). Sept. 2002, http://www.opencores.org/projects.cgi/web/wishbone/wbspec_b3.pdf
    [83]. A. Ejnioui, R. F. DeMara. Area reclamation metrics for SRAM-based reconfigurable device. Proc. of the International Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA’05). Nevada: Las Vegas, 2005
    [84]. S. Sezer, R. Woods, J. P. Heron, et al. Fast partial reconfiguration for FCCMs. FCCM’98, 1998, 318-319
    [85]. R. Maestre, F. J. Kurdahi, N. Bagherzadeh, et al. Kernel scheduling in reconfigurable computing. Proc. Design, Automation, and Test in Eur. (DATE). Germany: Munich, 1999, 90-96
    [86]. Xilinx Inc.. Using Block SelectRAM+ Memory in Spartan-II FPGAs, XAPP173, v1.1, 2000
    [87]. Z. Li. Configuration Management Techniques for Reconfigurable Computing: [dissertation]. Northwestern University, 2002
    [88]. H. Walder, M. Platzner. Online scheduling for block-partitioned reconfigurable devices. Proc. of the Design, Automation and Test in Europe Conference and Exhibition (DATE’03). Messe Munich, 2003: 290-295
    [89]. M. Huebner, T. Becker, J. Becker. Real-time LUT-based network topologies for dynamic and partial FPGA self-reconfiguration. SBCCI’04. Brazil: Pemambuco, 2004, 28-32
    [90]. J. C. Palma, A. V. Melo, F. G. Moraes, et al. Core communication interface for FPGAs. XVII SIM – South Symposium on Microelectronics, 2002
    [91]. K. Compton, S. Hauck. An introduction to reconfigurable computing, 1999. Internal report at http://www.ece.nwu.edu/ kati/pub.html
    [92]. R. L. Haggard, S. Donthi, A survey of dynamically reconfigurable FPGA devices. Proc. of the 35th Southeastern Symposium on System Theory. 2003, 422-426
    [93]. B. Salefski, L. Gaglar. Reconfigurable computing in wireless. Proc. IEEE DAC 2001, 178-183
    [94]. A. Upegui, E. Sanchez. Evolving hardware by dynamically reconfiguring Xilinx FPGAs. ICES’05, 2005, 56-65
    [95]. N. Abel, L. Kessal, D. Demigny. Design flexibility using FPGA dynamical reconfiguration. IEEE International Conf. On Image Processing (ICIP). 2004, 2821-2824
    [96]. J. Thorvinger. Dynamic partial reconfiguration of an FPGA for computational hardware support. Lund Institute of Technology. 2004
    [97]. Virtex-II V2MB1000 Development Board User’s Guide v3.0, 2002
    [98]. M. J. Wirthlin. Improving functional density through run-time circuit reconfiguration: [dissertation]. Brigham Young University, 1997
    [99]. S. Hauck, Z. Li, E. Schwabe. Configuration compression for the Xilinx XC6200 FPGA. Proc. of the IEEE Symposium on Field-Programmable Custom Computing Machines. 1998, 138-146
    [100]. Z. Li, S. Hauck. Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation. FPGA’02, 2002, 187-195
    [101]. S. A. Guccione, D. Levi, P. Sundararajan. JBits: A Java-based interface for reconfigurable computing. The 2nd Annual Military and Aerospace Applications of Programmable Devices and Technologies Conference (MAPLD). 1999
    [102]. M. Dyer, C. Plessl, M. Platzner. Partially reconfigurable cores for Xilinx Virtex. Proc. of Field-Programmable Logic and Applications (FPL’02). France: Montpellier, 2002, 292-301
    [103]. P. J. Roxby, S. A. Guccione. Automated extraction of run-time parameterisable cores from programmable device configurations. Proc. of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM’00). California: Napa, 2000, 153-161
    [104]. E. L. Horta. K. W. Lockwood, S. T. Kofuji. Using PARBIT to implement partial run-time reconfiguration system. Proc. of the 12nd Field-Programmable Logic and Applications (FPL’02). France: Montpellier, 2002, 182-191
    [105]. A. K. Raghavan, P. Sutton. JPG - a partial bitstream generation tool to support partial reconfiguration in. virtex FPGAs. Proc. of International Parallel and Distributed Processing Symposium, IPDPS’02, 2002, 155-160
    [106]. H. Kalte, G. Lee, M. Porrmann, et al. REPLICA: a bitstream manipulation filter for module relocation in partial reconfigurable systems. The 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS’05), Apr. 2005, 151-158
    [107]. Xapp151, Virtex series configuration architecture rser guide (V1.7), Oct. 2004
    [108]. X. Liang, J. S. Vetter, M. C. Smith. Balancing FPGA resource utilities. Proc. of International Conference on Engineering of Reconfigurable Systems and Algorithms, Las Vegas, USA. 2005. 156-162
    [109]. K. Eguro, S. Hauck. Resource allocation for coarse-grained FPGA development. IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems. 2005. 24: 1572-1581
    [110]. http://www.opencores.org/browse.cgi/by_category
    [111]. Xilinx, PicoBlaze 8-bit embedded microcontroller user guide (V1.1), Jun. 2004
    [112]. H. Dhand, N. Goel, M. Agarwal. Partial and dynamic reconfiguration in Xilinx FPGAs – a quantitative study. The 9th VLSI Design and Test Symposium. India. 2005
    [113]. S. McMillan, S. Guccione. Partial run-time reconfiguration using JRTR. Proc. of the 10th International Workshop on Field-Programmable Logic and Applications, FPL 2000, Springer-Verlag, Berlin, Aug. 2000, 352-360
    [114]. S. C. Goldstein, M. Budiu. NanoFabrics: Spatial computing using molecular electronics. Proc. of the 28th Annual International Symposium on Computer Architecture, Goteborg, Swede, 2001
    [115]. O. Diessel, H. ElGindy, M. Middendorf, et al. Dynamic scheduling of tasks on partially reconfigurable FPGAs. Proc. of Computers and Digital Techniques, May 2000, 147 (3): 181-188
    [116]. J. Edmonds, J. Gryz, D. Liang, et al. Mining for empty spaces in large data sets. Theoretical comput. Sci., 2003, 3 (296): 435-452
    [117]. M. Handa, R. Vemuri. A fast algorithm for finding maximal empty rectangle for dynamic FPGA placement. Proc. of the Design, Automation and Test in Europe Conference and Exhibition (DATE’04), 2004
    [118]. S. Martello, D. Pisinger, D. Vigo. The three-dimensional bin packing problem. Proc. ofInternational Symposium on Mathematical Programming, ISMP 97, Lausanne, 1997
    [119]. J. T. Sousa, J. M. Silva, M. Abramovici. A configware/software approach to SAT solving. Proc. of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM’01). 2001
    [120]. K. B. Chehida, M. Auguin. A software/configware codesign methodology for control dominated applications. Proc. of the 16th International Conf. On Application-Specific Systems, Architecture and Processors. 2005
    [121]. J. Henkel, T. Benner, R. Ernst. COSYMA: a software-oriented approach to hardware/software codesign. Journal of computer & software engineering, 1994, 2 (3): 293-314
    [122]. B. Miramond, J. M. Delosme. Design space exploration for dynamically reconfigurable architectures. DATE’05, 2005, 366-371
    [123]. H. Liu, D. F. Wong. Circuit partitioning for dynamically reconfigurable FPGAs. FPGA’99, Monterey, CA, USA, 1999, 187-194
    [124]. 陈国良, 王煦法, 庄镇泉, 等. 遗传算法及其应用. 北京: 人民邮电出版社, 1996
    [125]. 邹谊, 庄镇泉, 杨俊安. 基于遗传算法的嵌入式系统软硬件划分算法. 中国科学技术大学学报, 2004, 34 (6): 724-731
    [126]. R. P. Dick, N. K. Jha. MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems. IEEE Trans. on Computed-Aided Design of Integrated Circuits and Systems, 1998, 17: 920-935
    [127]. Y. Monnier, J. P. Beauvais, A. M. Deplanche. A genetic algorithm for scheduling tasks in a real-time distributed system. Proc. of the 24th EUROMICRO Conf. 1998, 708-714
    [128]. R. Storn, K. Price. Differential evolution - a simple and efficient adaptive scheme for global optimization over continuous spaces. Technical Report TR-95-012, International Computer Science Institute. CA: Berkeley, 1995
    [129]. A. W. Johnson, S. H. Jacobson, A class of convergent generalized hill climbing algorithms, Applied Mathematics and Computation, 2002, 125: 359-373
    [130]. T. Wiangtong, P. Y. K. Cheung, W. Luk. Comparing three heuristic search methods for functional partitioning in hardware-software codesign. Design Automation for Embedded Systems, 2002, 6: 425-449
    [131]. S. Hauck, Z. Li, K. Compton. Configuration Caching Management Techniques for Reconfigurable Computing. Proc. of the IEEE Symposium on Field-Programmable Custom Computing Machines. 2000, 22-36
    [132]. D. Levine. Users guide to the PGAPack paralle genetic algorithm library. Technology Report, Argonne National Laboratory, 1996
    [133]. A. DeHon. Comparing computing machines. Configurable Computing: Technology and Applications of Proc. Of SPIE, 1998, 3526: 124-133
    [134]. A. Fukunage, K. Hayworth, A. Stoica. Evolvable hardware for spacecraft autonomy. IEEE Aerospace Conference. 1998, 3: 135-143
    [135]. X. Yao, T. Higuchi. Promises and challenges of evolvable hardware. IEEE Trans. On Systems, Man, and Cybernetics - Part C. Applications and Reviews, 1999, 29
    [136]. A. Stoica, R. Zebulum, D. Keymeulen, et al. Reconfigurable VLSI architectures for evolvable hardware: from experimental Field Programable Transistor Arrays to evolution - oriented Chips, IEEE Trans. On Very Large Scale Integration (VLSI) Systems. 2001, 9: 227-232

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700