极紫外多层膜光学元件表面污染研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
极紫外光刻技术(Extreme ultraviolet lithography-EUVL)作为22-11nm节点的主流光刻技术,其中极紫外多层膜的表面污染和寿命是制约EUVL在大规模生产领域应用的关键之一。EUVL曝光过程中,在EUV辐照和残留碳氢化合物气体以及水蒸气的作用下,EUV光学表面会发生表面碳沉积和表面氧化污染,导致光学元件反射率的降低和芯片产量的减少,此外还会危害光刻质量。
     为了深入理解多层膜光学表面碳沉积和氧化的机理和相关影响因素,对实际光刻机内的污染状况进行合理评估和预测,建立了多层膜光学表面碳沉积和氧化的理论模型,并对多层膜表面保护层进行了优化设计和分析,以减少表面污染。主要研究内容如下:
     1.建立了EUV辐照下残留CxHy气体在光学表面引起的碳沉积模型。模型包括CxHy在光学表面的传输、扩散,及在EUV光子和二次电子激发下引起的分解。与实验结果的对比表明模型具有较好的准确性。对碳沉积过程的主要影响因素进行分析,结果表明:引起CxHy分解的主要方式是EUV光子分解;碳层厚度随CxHy气体压强的升高和EUV光强的增加而增长,但会趋于饱和;CxHy分子在光学表面的扩散对碳层分布影响很小;具有较小分子量的CxHy分子(<~100amu)对碳层的贡献较小;当基底温度适度增加时(~30°C),能够降低表面CxHy的覆盖率,充分减少碳污染。
     2.建立了EUV辐照下残留水蒸气在光学表面引起的氧化模型。模型包括水分子在Ru表面的吸附、解吸附和扩散、EUV光子和二次电子对水分子的分解、氧原子在Ru层中的扩散和氧化。模型预测结果与电子束曝光实验吻合较好,可用来对实际光刻机中的氧化进行预测和评估。根据模型对表面氧化的主要影响因素进行分析,结果表明:引起水分子分解的主要方式是二次电子分解;随着曝光时长的增加,氧化会持续增加,但速率降低;在较高水蒸气偏压和较大EUV光强条件下,氧化物一般会增加,但是当表面氧原子浓度达到1ML时,氧化会趋于稳定;表面温度的增加(>340K)和较弱的水分子表面结合能(~47KJ/mol)会降低表面水分子覆盖度,有效减少氧化。
     3.多层膜表面保护层(帽层)能够提高多层膜的稳定性和寿命,本文从光学性能、表面化学稳定性等方面对现有物质进行了系统设计和选择,结果表明Ru、Rh、TiO2、ZrO2相比于其他材料,更适合作为帽层材料。随后对帽层进行优化设计,并从表层电场分布的角度对其进行分析,以减少表层光电子数量,减轻表面污染。当标准Mo/Si多层膜表面Ru帽层的厚度为1.72nm时,反射率最大,为75.59%;当Ru层厚度为2.0nm时,对应的最优顶层Si膜厚度为4.01nm,反射为75.55%;当顶层Si膜厚度为3.25-5.35nm时,Ru层厚度可在一定范围内变化,且反射率均比标准多层膜高。经过优化的多层膜表层电场分布具有以下两个特点:1)多层膜内最上层驻波的波节位于吸收性的帽层中;2)帽层中的总电场强度最低。
     本文研究内容为EUV多层膜光学表面污染的相关研究提供了理论依据,可对实际光刻机内的污染状况进行合理预测和评估,对多层膜表面污染的控制提供指导。
Extreme ultraviolet (EUV) lithography is the most promising candidate for thenext generation lithography target at the22-11nm features. One of the most criticalissues delaying the delivery of EUV lithography into high volume manufacturing isexposure-induced EUV optics contamination and optics lifetime. When the optic isexposed to EUV radiation the residual hydrocarbons and water vapor will causecarbon deposition and oxidation on the mirror surface which will reduce the EUVreflectivity and the overall system throughput, and also affect the imagingperformances.
     In order to understand the relevant mechanisms of each of these processeswhich would be used to estimate the reflectively loss in any given EUV environment,this paper model the EUV-induced carbon contamination and oxidation on mirrorsurface, and also design and optimize the capping layers(CL) of the multilayermirror to minimize the surface contamination.
     1. A model of EUV-induced carbon contamination of optics is presented in thepresence of residual hydrocarbons. A description of the relevant physical andchemical processes is developed including vapor-phase adsorption, surfacedesorption, surface diffusion, and molecular dissociation by direct photoabsorptionand by secondary electron processes. The model provides a quantitative account ofexperimental data and suggests that the predominant cause of hydrocarbon dissociation is bond breaking by direct photon absorption. Detailed predictions forcarbon deposition for a variety of conditions of EUV power and hydrocarbonpressure are reported. The model predicts that light hydrocarbons (~100amu) posea negligible risk to EUV optics and modest increases in substrate temperature(~30°C) will substantially reduce optic contamination.
     2. Amodel of EUV-induced oxidation of a Ru-coated EUV optic is presented inthe presence of water vapor. The model describes the key processes including theadsorption and thermal desorption of water to and from the Ru surface, moleculardiffusion across the optic surface, and the dissociation of the water by both directEUV ionization and secondary electron excitation. The model predicts oxidethickness over time, which may later be used to estimate the reflectively lossattributable to the oxide in any given EUV environment. Model predictions provide agood description observed in available electron-beam experiments. The model is alsoused to estimate oxygen penetration into the Ru coating under various conditions ofwater partial pressure, EUV power, and temperature. The model predicts reducedoxidation with higher temperatures and for substrates that bind water less tightlythan ruthenium.
     3. This paper focuses on properties and surface chemistry of different materials,which as thin films could be used as capping layers to protect and extend the lifetimeof multilayer mirror optics. The most promising candidates include ruthenium,rhodium, TiO2and ZrO2. Then the thickness of the capping layer and standard MLare optimized and analyzed from the view of normalized electric-field intensitydistribution within the ML. The reflectivity of the standard Mo/Si ML is highest(75.59%) with a1.72-nm Ru CL, while with a given2.0-nm Ru CL, the reflectivityreach the maximum(75.55%) when the final Si layer’s thickness is optimized to4.01nm. When the thickness of top Si layer is in the range of3.25-5.35nm, the valueof Ru CL’s thickness is not controlled precisely for maintaining a relatively highpeak R. For optimized Mo/Si ML, the node of the standing wave is located withinthe absorptive Ru capping layer with the lowest E-field intensity which will minimize the surface contamination.
     The research contents of this paper provide theoretical basis for the relevantstudy of contamination on EUV multilayer mirror surface, whcih can be used toestimate the reflectively loss in any given EUV environment, and provide valuableinsight into possible methods for remediation.
引文
[1] G. E. Moore. Cramming more components onto integrated circuits [J]. Electronics,1965,38(8):114-117
    [2]李艳秋.下一代曝光(NGL)技术的现状和发展趋势[J].微纳电子技术,2003,7(8):116-119
    [3] ITRS, International Technology Roadmap for Semiconductors2012edition.http://www.itrs.net,2012
    [4] Bakshi V. EUV lithography [M]. Bellingham: Spie Press,2009
    [5] M. Born, E. Wolf. Princilples of optics [M].5th edition. Pergamon P.,1975
    [6] H. J. Levinson. Principles of lithography.2nd Edition, SPIE Press, Bellingham,ISBN0–8194–5660–8:382–392,2005
    [7] E. Louis, A. E. Yakshin, P. C. Goerts, et al. Mo/Si multilayer coating technologyfor EUVL: coating uniformity and time stability [C]. Proceedings of SPIE,2000,4146:60-63
    [8] E. Louis, E. Zoethout, R. W. E. v. d. Kruijs, et al. Multilayer coatings for theEUVL process development tool [C]. Proceedings of SPIE,2005,5751:1170-1177
    [9] Zoethout E, Sipos G, van de Kruijs R W, et al. Stress mitigation in Mo/Simultilayers for EUV lithography [C]. Proceedings of SPIE,2003,5037:872-878
    [10]KinoshitaH,KuriharaK,IshiiYandtoriiy. Soft X-ray reduction lithography usingmultilayer mirrors [J]. J. Vac. Sci. Technol,1989, B7(6):1648-1651
    [11]Kinoshita H, Kurihara K, Takenaka H. Soft x-ray reduction lithography usingmultilayer mirrors [J]. iji,1991,100(50):100-150
    [12]Hawryluk A M, Seppala L G. Soft x‐ray projection lithography using an x‐rayreduction camera [J]. Journal of Vacuum Science&Technology B,1988,6(6):2162-2166
    [13]Silfvast W T, Wood II O R. Tenth micron lithography with a10Hz37.2nmsodium laser [J]. Microelectronic engineering,1988,8(1):3-11
    [14]Silverman P J. Insertion of EUVL into high-volume manufacturing [C].Proceedings of SPIE,2001,4343:12–18
    [15]Tichenor D, Ray-Chaudhuri A K, Replogle W C, et al. System integration andperformance of the EUV engeering test stand [C]. Proceedings of SPIE,2001,4343:19–37
    [16]Tichenor D A, Ray-Chaudhuri A K, Lee S H, et al. Initial result from the EUVengeering test stand [C]. Proceedings of SPIE,2001,4506:9–18
    [17]Chapman H N, Ray-Chaudhuri AK, Tichenor D A, et al. First lithographic resultsfrom the extreme ultraviolet Engineering Test Stand [J]. Journal of Vacuum Science&Technology B,2001,19(6):2389-2395
    [18]Tichenor D A, Kubiak G D, Replogle W C, et al. EUV engineering test stand [C].Proceedings of SPIE,2000,3997:48–69
    [19]Meiling H, Benschop J P, Dinger U, et al. Progress of the EUVL alpha tool [C].Proceedings of SPIE,2001,4343:38–50
    [20]Meining H, Meijer H, Banine V, et al. First performance result of the ASML alphademo tool [C]. Proceedings of SPIE,2006,6151:615108
    [21]Ota K, Murakami K, Kondo H, et al. Feasibility study of EUV scanners [C].Proceedings of SPIE,2001,4343:60–69
    [22]Miura T, Murakami K, Suzuki K, et al. Nikon EUVL development progresssummary [C]. Proceedings of SPIE,2006,6151:615105
    [23]Meiling H, de Boeij W, Bornebroek F, et al. From performance validation tovolume introduction of ASML's NXE platform [C]. Proceedings of SPIE,2012:83221G-83221G
    [24]Rudy Peeters, Sjoerd Lok, Erwin van Alphen, et al. ASML’s NXE platformperformance and volume introduction [C]. Proceedings of SPIE,2013,8679:86791F
    [25]Wu B. Next-generation lithography for22and16nm technology nodes andbeyond [J]. Science China Information Sciences,2011,54(5):959-979
    [26]Wu B, KumarA. Extreme ultraviolet lithography [M]. McGraw-Hill, Inc.,2009
    [27]Kearney P. Defect Mitigation and Reduction in EUVL mask blanks [C]. Proc.SPIE,2007,6517:651726
    [28]Barty A, Mirkarimi P B, Stearns D G, et al. EUVL mask blank repair [C].Proceedings of SPIE,2002,4688:385-394
    [29]O. Wood, C.-S. Koay, K. Petrillo, et al. EUV lithography at the22nm technologynode [C]. Proceedings of SPIE,2010,7636:76361M
    [30]U. Okoroanyanwu, J. L. Cobb, P. M. Dentinger, et al. Defects and metrology ofultrathin resist films [C]. Proceedings of SPIE,2000,3998:515-526
    [31]G. Denbeaux, R. Garg, C. Mbanaso, et al. Extreme ultraviolet resist outgassingand its effect on nearby optics [C]. Proceedings of SPIE,2008,6921:69211G
    [32]G. Denbeaux, R. Garg, J. Waterman, et al. Quantitative measurement of EUVresist outgassing [C]. Proceedings of SPIE,2007,6533:653318
    [33]Louis E, Yakshin A E, Goerts P C, et al. Progress in Mo/Si multilayer coatingtechnology for EUVL optics [C]. Proceedings of SPIE,2000:406-411
    [34]Bozorg Grayeli E, Li Z, Asheghi M, et al. Thermal conduction properties ofMo/Si multilayers for extreme ultraviolet optics [J]. J. Appl. Phys.,2012,112(8):082504-4-7
    [35]Hans Meiling, Bas Mertens, Frank Stietz, et al. Prevention of MoSi multilayerreflection loss in EUVLtools [C]. Proceedings of SPIE,2001,4506:93-104
    [36]Oestreich S, Klein R, Scholze F, et al. Multilayer reflectance during exposure toEUV radiation [C]. Proceedings of SPIE,2000,4146:64-71
    [37]Koster N, Mertens B, Jansen R, et al. Molecular contamination mitigation inEUVL by environmental control [J]. Microelectronic engineering,2002,61:65-76
    [38]Malykhin E M, Lopaev D V, Rakhimov A T, et al. Plasma cleaning of multilayermirrors in EUV lithography from amorphous carbon contaminations [J], MoscowUniversity Physics Bulletin,2011,66(2):184-189
    [39]Braginsky O V, Kovalev AS, Lopaev D V, et al. Removal of amorphous C and Snon Mo/Si multilayer mirror surface in hydrogen plasma and afterglow [J]. J. Appl.Phys.,2012,111(9):093304
    [40]Nicolas Benoit, Sven Schr der, Sergiy Yulin, et al. Extreme-ultraviolet-inducedoxidation of Mo/Si multilayers [J].APPLIED OPTICS,2008,47(19):3455-3462
    [41]Benoit N, Yulin S, Feigl T, et al. Radiation stability of EUV Mo/Si multilayermirrors [J]. Physica B: Condensed Matter,2005,357(1):222-226
    [42]Meiling H, Meijer H, Banine V, et al. First performance results of the ASMLalpha demo tool [C]. Proceedings of SPIE,2006,6151:08-12
    [43]Hansson B. Laser-Plasma Sources for Extreme-Ultraviolet Lithography [D]. Ph.D.Thesis, Royal Institute of Technology, Stockholm, Sweden,2003
    [44]Boller K, Haelbich R P, Hogrefe H, et al. Investigation of carbon contaminationof mirror surfaces exposed to synchrotron radiation [J]. Nuclear Instruments andMethods in Physics Research,1983,208(1):273-279
    [45]K. Boller, R. P. Haelbich, H. Hogrefe et al. Investigation of carbon contaminationof mirror surfaces exposed to synchrotron radiation [J]. Nucl. Instrum. Method.,1983,208:273-279
    [46]Ralph Kurt, Michiel van Beek, Co Crombeen et al. Radiation induced carboncontamination of optics [C]. Proceedings of SPIE,2002,4688:702-709
    [47]Hollenshead J, Klebanoff L. Modeling radiation-induced carbon contamination ofextreme ultraviolet optics [J]. Journal of Vacuum Science&Technology B,2006,24(1):64-82
    [48][48]G. Kyrialou, D. J. Davis, R. B. Grant et al. Electron impact-assisted carbonfilm growth on Ru(0001): Implicaions for next-generation EUV lithography [J]. J.Phys. Chem. C,2007,111:4491-4494
    [49]M. Shiraishi, T. Yamaguchi, A. Yamazaki et al. A simple modeling of carboncontamination on EUV exposure tools based on contamination experiments withsynchrotron source [C]. Proceedings of SPIE,2011,7969:79690N
    [50]Kurt R, van Beek M, Crombeen C, et al. Radiation induced carbon contaminationof optics [C]. Proceedings of SPIE,2002,4688:702–709
    [51]Juequan Chen, Eric Louis, Rob Harmsen, et al. In situ ellipsometry study ofatomic hydrogen etching of extreme ultraviolet induced carbon layers [J]. AppliedSurface Science,2011,258(1):7-12
    [52]Graham Jr S, Malinowski M E, Steinhaus C, et al. Studies of EUV contaminationmitigation [C]. Proceedings of SPIE,2002,4688:431–441.
    [53]Graham S, Steinhaus C, Clift M, et al. Radio-frequency discharge cleaning ofsilicon-capped Mo/Si multilayer extreme ultraviolet optics [J]. Journal of VacuumScience&Technology B,2002,20(6):2393-2400.
    [54]Graham Jr S, Steinhaus C A, Clift W M, et al. Atomic hydrogen cleaning of EUVmultilayer optics [C]. Proceedings of SPIE,2003,5037:460–469
    [55]Malinowski M E, Grunow P A, Steinhaus C, et al. Use of molecular oxygen toreduce EUV-induced carbon contamination of optics [C]. Proceedings of SPIE,2001,4343:347–356
    [56]Malinowski M E, Steinhaus C A, Meeker D E, et al. Relation between electron-and photon-caused oxidation in EUVL optics [C]. Proceedings of SPIE,2003,5037:429–438
    [57]Gomei Y, Takase H, Aoki T, et al. Scaling law in acceleration test of extremeultraviolet lithography projection optics mirror contamination[J]. Journal of VacuumScience&Technology B,2005,23(6):2848-2851
    [58]Oizumi H, Izumi A, Motai K, et al. Atomic hydrogen cleaning of surface ru oxideformed by extreme ultraviolet irradiation of Ru-capped multilayer mirrors in H2Oambience[J]. Japanese Journal ofApplied Physics,2007,46(7L): L633.
    [59]Menzel D. Water on a metal surface [J]. Science,2002,295(5552):58-59
    [60][60] Feibelman P J. Partial dissociation of water on Ru (0001)[J]. Science,2002,295(5552):99-102
    [61]Andersson K, Nikitin A, Pettersson L G M, et al. Water dissociation on Ru (001):an activated process[J]. Physical review letters,2004,93(19):196101
    [62]Nishiyama I. Model of Ru-surface oxidation for the lifetime scaling of EUVLprojection optics mirror [C]. Proceedings of SPIE,2006,6151:61510G
    [63]Bajt S, Chapman H N, Nguyen N, et al. Design and performance of cappinglayers for EUV multilayer mirrors [C]. Proceedings of SPIE,2003,5037:236–248
    [64]Bajt S, Edwards N V, Madey T E. Properties of ultrathin films appropriate foroptics capping layers exposed to high energy photon irradiation [J]. Surface ScienceReports,2008,63(2):73-99.
    [65]Yulin S, Benoit N, Feigl T, et al. Mo/Si multilayers with enhanced TiO2-andRuO2-capping layers [C]. Proceedings of SPIE,2008,6921:692118
    [66]Bajt S, Alameda J B, Barbee Jr T W, et al. Improved reflectance and stability ofMo/Si multilayers [J]. Opt. Eng.,2002,41(8):1797-1804
    [67]Bajt S, Hau-Riege S, Alameda J, et al. Protective capping layer for EUVL opticsusing TiO2[C].4th International Extreme Ultraviolet Lithography Symposium, SanDiego, California.2005
    [68]Hudyma R M. An overview of optical systems for30nm resolution lithography atEUV wavelengths [C]. Proceedings of SPIE,2002,4832:137-148
    [69]Hudyma R. High numerical aperture ring field projection system for extremeultraviolet lithography [P]. U.S. Patent,6033079.2000-3-7
    [70]Hudyma R. High numerical aperture ring field projection system for extremeultraviolet lithography [P]. U.S. Patent,6033079.2000-3-7
    [71]D.阿特伍德.软X射线与极紫外辐射的原理和应用[M].张杰译.北京:科学出版社,2003
    [72]SPILLER E. Soft X-ray Optics [M]. Bellingham: SPIE Optical Engineering Press,1994
    [73]SPILLER E. High performance multilayer coatings for EUV lithography [C].Proceedings of SPIE,2004,5193:89-97
    [74]R. W. James. The optical principles of the diffraction of X-rays [M]. New York:Cornell University Press,1982
    [75]Compton A. H., Allison S. K. X-ray in theory and experiment [M].2th ed.. NewYork: Van Nostrand,1935
    [76]Henke B L, Lee P, Tanaka T J, et al. Low-energy X-ray interaction coefficients:Photoabsorption, scattering, and reflection: E=100–2000eV Z=1–94[J]. Atomic dataand nuclear data tables,1982,27(1):1-144
    [77]Zachariasen W H. Theory of X-ray Diffraction in Crystals [M]. Courier DoverPublications,2004
    [78]Yamamoto M, Namioka T. Layer-by-layer design method for soft-x-raymultilayers [J].Applied optics,1992,31(10):1622-1630
    [79]Michette A G. Optical systems for soft X-rays [M]. New York: Plenum Press,1986
    [80]Slaughter J M, Watts R N, Falco C M, et al. Si/B4C narrow-bandpass mirrors forthe extreme ultraviolet [J]. Optics letters,1994,19(21):1786-1788
    [81]Barbee Jr T W, Mrowka S, Hettrick M C. Molybdenum-silicon multilayer mirrorsfor the extreme ultraviolet [J].Applied Optics,1985,24(6):883-886
    [82]YakshinAE, van de Kruijs R W E, Nedelcu I, et al. Enhanced reflectance ofinterface engineered Mo/Si multilayers produced by thermal particle deposition [C].Proceedings of SPIE,2007,6517:651701
    [83]Louis E, Van Hattum E D, van der WESTERN SA. High reflectance multilayersfor EUVL HVM-projection optics [C]. Proceedings of SPIE,2010,7636,:76362T
    [84]Zhu Jingtao, Huang Qiushi, Bai Liang, et al. Manufacture and measurement ofSiC/Mg EUV multilayer mirrors in different base pressures [J]. Optics and PrecisionEngineering,2009,17(12):2946-2951
    [85]Tu Yuchun, Song Zhuqing, Huang Qiushi, et al. Fabrication of laterally gradedperiodic Mo/Si multilayer using magnereon sputtering technology [J]. High PowerLaser and Particle Beams,2011,23(9):2419-2422
    [86]Wang Hongchang, Wang Zhanshan, Li Fosheng, et al.Analysis of reflectiveperformance of EUV multilayer under the influence of capping layer [J].Acta PhysicaSinica,2005,53(7):2368-2372.
    [87]Yu Bo, Li Chun, Jin Chunshui. Diffusion coefficient measurement by grazingincidence X-ray reflection in a Mo/Si multilayer [J]. Chinese Journal of Laser,2011,38(11):214-219
    [88]Ziegler E, Peverini L, Vaxelaire N, et al. Evolution of surface roughness in siliconX-ray mirrors exposed to a low-energy ion beam [J]. Nuclear Instruments andMethods in Physics Research Section A: Accelerators, Spectrometers, Detectors andAssociated Equipment,2010,616(2):188-192
    [89]Eriksson F, Ghafoor N, Sch fers F, et al. Atomic scale interface engineering bymodulated ion-assisted deposition applied to soft x-ray multilayer optics [J]. Appliedoptics,2008,47(23):4196-4204
    [90]Yulin S, Benoit N, Feigl T, et al. Interface-engineered EUV multilayer mirrors [J].Microelectronic engineering,2006,83(4):692-694
    [91]Louis E, Van Hattum E D, van der Westen S A, et al. High reflectance multilayersfor EUVL HVM-projection optics [C]. Proceedings of SPIE,2010,7636:76362T
    [92]Soufli R, Spiller E A, Schmidt M A, et al. Multilayer optics for anextreme-ultraviolet lithography tool with70-nm resolution [C]. Proceedings of SPIE,2001:51-59
    [93]Louis E, Zoethout E, van de Kruijs R W E, et al. Multilayer coatings for theEUVL process development tool [C]. Proceedings of SPIE,2005,5751:1171-1177
    [94]Kearney P A, Moore C E, Tan S I, et al. Mask blanks for extreme ultravioletlithography: Ion beam sputter deposition of low defect density Mo/Si multilayers [J].Journal of Vacuum Science&Technology B,1997,15(6):2452-2454
    [95]Spiller E, Baker S L, Mirkarimi P B, et al. High-performance Mo-Si multilayercoatings for extreme-ultraviolet lithography by ion-beam deposition [J]. AppliedOptics,2003,42(19):4049-4058
    [96]R. Wasielewski, B. V. Yakshinskiy, M. N. Hedhili et al. Surface chemistry of Ru:relevance to optics lifetime in EUVL [C]. Proceedings of SPIE,2007,6533:653311
    [97]L. E. Klebanoff, M. E. Malinowski, P. Grunow, et al. First environmental datafrom the EUV engineering test stand [C]. Proceedings of SPIE,2001,4343:342-346
    [98]Bass A. D., Sanche L. Absolute and effective cross-sections for low-energyelectron-scattering processes within condensed matter [J]. Radiation andenvironmental biophysics,1998,37(4):243-257
    [99]J. Stohr. NEXAFS Spectroscopy [M]. Berlin: Springer,1996
    [100] Bird R B, Stewart W E, Lightfoot E N. Transport phenomena [M]. JohnWiley&Sons,2007
    [101] Benvenuti C, Cazeneuve J M, Chiggiato P, et al. A novel route to extremevacua: the non-evaporable getter thin film coatings [J]. Vacuum,1999,53(1):219-225
    [102] Jeromy Hollenshead, Leonard Klebanoff. Modeling carbon contamination ofextreme ultraviolet (EUV) optics [C]. Proceedings of SPIE,2004,5674:675-685
    [103] Theodore E. Madey, Nadir S. Faradzhev, Boris V. Yakshinskiy, et al. Surfacephenomena related to mirror degradation in extreme ultraviolet (EUV) lithography [J].Applied Surface Science,2006,253:1691-1708
    [104] S. Matsunari, T. Aoki, K. Murakami, et al. Carbon deposition on multilayermirrors by extreme ultra violet ray irradiation [C]. Proceedings of SPIE,2007,6517:65172X
    [105] Mark Schürmann, Sergiy Yulin, Viatcheslav Nesterenko, et al.Multi-technique study of carbon contamination and cleaning of Mo/Si mirrorsexposed to pulsed EUV radiation [C]. Proceedings of SPIE,2010,7636:76361P
    [106] M. Catalfano, A. Kanjilal, A. Al-Ajlony, et al. Mirror contamination andsecondary electron effects during EUV reflectivity analysis [C]. Proceedings of SPIE,2012,8322:832233
    [107] Kakutani Y, Niibe M, Gomei Y, et al. Inhibition of contamination ofRu-capped multilayer mirrors for extreme ultraviolet lithography projection optics byethanol[J]. Japanese Journal ofApplied Physics,2007,46(9S):6155-6160
    [108] L. E. Klebanoff, W. M. Cliff, M. E. Malinowski et al. Radiation-inducedprotective carbon coating for extreme ultraviolet optics [J]. J. Vac. Sci. Technol. B,2002,20(2):696-703
    [109] K. Hamamoto, Y. Tanaka, T. Watanabe et al. Cleaning of extreme ultravioletlithography optics and masks using13.5nm and172nm radiation [J]. J. Vac. Sci.Technol. B,2005,23(1):247-251
    [110] T. Aoki, H. Kondo, S. Matsunari, et al. Apparatus for contamination controldevelopment in EUVA[C]. Proceedings of SPIE,2005,5751(1):1137-1146
    [111] Tanaka K, Hamamoto K, Sakaya N, et al. Cleaning characteristics ofcontaminated imaging optics using172nm radiation[J]. Japanese Journal of AppliedPhysics,2007,46(9S):6150-6154
    [112] B. V. Yakshinskiy, M. N. Hedhili, S. Zalkind et al. Radiation-induced defectformation and reactivity of model TiO2capping layers with MMA: a comparison withRu [C]. Proceedings of SPIE,2008,6921:692111
    [113] K. Hamamoto, S. Takada, T. Watanabe, et al. Investigation of contaminationremoval from finished EUVL mask [J]. J. Photopolymer Sci. Technol.,2003,16(3):395-399
    [114] I. Nishiyama, H. Oizumi, K. Motai, et al. Reduction of oxide layer on Rusurface by atomic-hydrogen treatment [J]. J. Vac. Sci. Technol. B,2005,23(6):3129-3131
    [115] K. Motai, H. Oizumi, S. Miyagaki, et al. Atomic hydrogen cleaning ofRu-capped EUV multilayer mirro [C]. Proceedings of SPIE,2007,6517:65170F
    [116] Faradzhev N S, Kostov K L, Feulner P, et al. Stability of water monolayerson Ru (0001): Thermal and electronically induced dissociation [J]. Chemical physicsletters,2005,415(1):165-171
    [117] Hugenschmidt M B, Gamble L, Campbell C T. The interaction of H2O with aTiO2(110) surface [J]. Surface Science,1994,302(3):329-340
    [118] Henderson M A, Perkins C L, Engelhard M H, et al. Redox properties ofwater on the oxidized and reduced surfaces of CeO2(111)[J]. Surface Science,2003,526(1):1-18
    [119] D. P. Woodruff, T. Delchar. Modern Techniques of Surface Science [M].2ndedition. Cambridge University Press,1994
    [120] Gegner J, H rz G, Kirchheim R. Segregation of oxygen atmetal/oxide-interfaces [J]. Interface Science,1997,5(4):231-243
    [121] Malinowski M E, Steinhaus C A, Meeker D E, et al. Relation betweenelectron-and photon-caused oxidation in EUVL optics [C]. Proceedings of SPIE,2003,5037:429-438
    [122] Clift W M, Klebanoff L E, Tarrio C, et al. Scaling studies of capping layeroxidation by water exposure with EUV radiation and electrons [C]. Proceedings ofSPIE,2004:666-674
    [123] S. Bajt, J.B. Alameda, T.W. Barbee Jr., et al. Improved reflectance andstability of Mo–Si multilayers [J]. Opt. Eng.,2002,41:1797–1804
    [124] S. Bajt, H.N. Chapman, N. Nguyen et al. Design and performance of cappinglayers for EUV multilayer mirrors [C]. Proceedings of SPIE,2003,5037:36–248
    [125] S. Bajt, H.N. Chapman, N. Nguyen et al. Designand performance of cappinglayers for extreme-ultraviolet multilayer mirrors [J].Appl. Opt.,2003,42:5750–5758
    [126] J. B. Benziger. Thermodynamics of adsorption of diatomic molecules ontransition metal surfaces [j],Appl. Surf. Sci.,1980,6:105
    [127] J.B. Benziger, Thermodynamics of adsorption of diatomic molecules ontransition metal surfaces,Appl. Surf. Sci.6(1980)105
    [128] K. Motai, H. Oizumi, S. Miyagaki, et al. Atomic hydrogen cleaning ofRu-capped EUV multilayer mirror [C]. Proceedings of SPIE,2007,6517:65170F
    [129] Madey T E, Faradzhev N S, Yakshinskiy B V, et al. Surface phenomenarelated to mirror degradation in extreme ultraviolet (EUV) lithography [J]. AppliedSurface Science,2006,253(4):1691-1708
    [130] Madey T E. History of desorption induced by electronic transitions [J].Surface science,1994,299:824-836
    [131] L. Sanche. Excess Electrons in Dielectric Media [M]. CRC Press, BocaRaton,FL,1991.1–42
    [132] Pan X, Bass A D, Jay-Gerin J P, et al. A mechanism for the production ofhydrogen peroxide and the hydroperoxyl radical on icy satellites by low-energyelectrons [J]. Icarus,2004,172(2):521-525
    [133] Yakshinskiy B V, Wasielewski R, Loginova E, et al. Carbon accumulationand mitigation processes, and secondary electron yields of ruthenium surfaces [C].Proceedings of SPIE,2007,6517:65172Z
    [134] Knotek M L, Feibelman P J. Stability of ionically bonded surfaces in ionizingenvironments [J]. Surface Science,1979,90(1):78-90
    [135] Rowntree P A. The use of highly organized molecular films as electronscattering targets: spectroscopic and desorption measurements of selective bondrupture in organic films [J]. Surface science,1997,390(1):70-78
    [136] He Y B, Goriachko A, Korte C, et al. Oxidation and reduction of ultrathinnanocrystalline Ru films on silicon: Model system for Ru-capped extreme ultravioletlithography optics [J]. The Journal of Physical Chemistry C,2007,111(29):10988-10992
    [137] Diebold U. The surface science of titanium dioxide [J]. Surface sciencereports,2003,48(5):53-229
    [138] Mayer J T, Diebold U, Madey T E, et al. Titanium and reduced titaniaoverlayers on titanium dioxide (110)[J]. Journal of electron spectroscopy and relatedphenomena,1995,73(1):1-11
    [139] P. Soukiassian, F. Amy, Silicon carbide surface oxidation and SiO2/SiCinterface formation investigated by soft x-ray synchrotron radiation [J]. J. ElectronSpectr. Related Phenomena,2005,783:144–147
    [140] Oh J H, Oh B J, Choi D J, et al. The effect of input gas ratio on the growthbehavior of chemical vapor deposited SiC films [J]. Journal of materials science,2001,36(7):1695-1700
    [141] Matsunari S, Kakutani Y, Aoki T, et al. Durability of capped multilayermirrors for high volume manufacturing extreme ultraviolet lithography tool [C].Proceedings of SPIE,2009,7271:72713R
    [142]唐晋发,郑全.应用薄膜光学[M].上海,上海科技出版社,1984.189-208

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700