纳米级电路分辨率增强技术及可制造性设计研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
指导集成电路生产发展四十余年的摩尔定律并非物理定律,而是人类创造力的定律,不断发展的科学技术保证了它的持续有效性,尤其是集成电路生产中的关键技术——光刻及分辨率增强技术(Resolution Enhancement Technology, RET)的发展,极大地减小了生产过程中图形失真的情况。基于模型的光学邻近校正技术(Model-Based Optical Proximity Correction, MBOPC)作为应用最为广泛的分辨率增强技术之一,已成为亚波长光刻生产过程中所必须的步骤。反向光刻技术(Inverse Lithography Technology, ILT)被认为是面向45纳米、32纳米乃至22纳米光刻的新一代分辨率增强技术。为设计者和生产者提供交流平台的可制造性设计技术(Design for Manufacturability, DfM)致力于在版图设计阶段即校正版图中可能引发生产时出现问题的地方。本文主要内容及创新点集中在基于模型的光学邻近校正技术、反向光刻技术及可制造性模型建模这三个方面:
     光学邻近校正中的基于模型的动态自适应切分技术和校正线段与光强校正点的映射模型。多边形边的切分和校正线段与光强校正点的映射是光学邻近校正中的两个关键步骤。针对切分配置文件越来越难于书写和调试而导致的校正质量下降的问题,本文提出了一种无需配置文件的自动切分方法。该方法通过轮廓采样及相应的计算,切分出与轮廓节奏相符的校正线段,并在校正过程中对校正线段动态调整。校正线段和光强校正点之间简单的一对一关系在纳米级光学邻近校正中已不再适用,针对该问题,本文提出了校正线段与光强校正点之间的映射模型。该模型考察对中心光强变化影响最大的区域,以此来确定映射关系。实验表明,以上两种技术提高了基于模型的光学邻近校正技术的精度,使之在面临纳米级光刻时有较好的表现。
     热点感知的反向光刻技术和面向无缝拼接的并行反向光刻技术。反向光刻技术采用优化方法,通过大量的计算得出基于格点的掩模,其具有很高的精度但是非常耗时。本文提出的热点(Hot-spots)感知的反向光刻技术可以在优化过程后期定位热点,在保持非热点版图不变的情况下,单独优化热点版图,减少了计算量,同时可以给出潜在热点的信息。本文提出的面向无缝拼接的反向光刻技术通过在代价函数中加入收敛惩罚项的方法,在获得并行计算所带来的速度优势的同时,很好的解决了环境变化和简单拼接易引发热点的问题。实验表明,以上两种反向光刻技术在提高优化速度的同时保证了质量。
     基于卷积核的可制造性模型建模。本文提出了一种基于卷积核的可制造性模型,描述从原始版图到硅片上轮廓这一过程。其卷积核中的元素是通过优化的方法得到,因而该模型具有较大的自由度和较高的精度;建模过程中没有显式的使用工艺参数,因而模型可以发行给设计者;同时该模型可以无需中间步骤直接从原始版图仿真出硅片上轮廓。实现表明,该模型具有较高的精度,使得在设计端进行版图的可制造性验证成为可能。
Moore's Law, which is the guide for IC manufacturing for more than 40 years, is a human creativity law instead of physical law, and continuous development of science and technology keeps Moore's Law continuously valid, especially that the development of lithography and RETs (Resolution Enhancement Technologies), which is the key process of IC manufacturing, greatly reduces the distortion in manufacturing. As one of the most widely used RETs, MB-OPC (Model-Based Optical Proximity Correction) has become an essential step in sub-wavelength lithography. ILT (Inverse Lithography Technology) is believed as a new generation of RETs for 45nm,32nm and even 22nm lithography. DfM (Design for Manufacturability) provides a platform for the communication between designers and manufacturers, and aims at fixing the manufacturing-error-prone spots at the layout design stage. The main contents and innovations of this paper focus on the following three aspects, MB-OPC, ILT and DfM modeling.
     Model-based dynamic self-adapting dissection and model-based mapping between segments and correction sites in OPC. Dissection of the polygon edges and the mapping between segments and correction sites are two critical steps in MB-OPC. To ease the quality reduction caused by dissection recipe that is hard to write and debug, a recipe-less and automatic dissection method is proposed in this paper. This method dissects the segments in accord with the rhythm of contour through contour sampling and corresponding calculation, and then adjusts the segments in correction loops. The simple one-to-one mapping between segments and correction sites are not suitable for nano-lithography, and to solve the problem, a mapping model is proposed in this paper. The mapping model inspects the areas that contribute most to the central intensity to determine the mapping. Experiments show that the two techniques improve the accuracy of MB-OPC and make it a better performer in nano-lithography.
     Hot-spots aware ILT and seamless-merging-oriented parallel ILT. ILT uses optimization methods to obtain the pixel-based mask through massive calculations, and it is highly accurate but time-consuming. Hot-spots aware ILT proposed in this paper can locate the hot-spots late in the optimization process, and fix the hot-spots layout alone while keeping hot-spots clean layout unchanged to reduce the calculation, and give the information about the latent hot-spots. Seamless-merging-oriented parallel ILT proposed in this paper adds convergence penalty terms in cost function to fix the problem that the changing environment and simple merging may induce hot-spots, while reaping the benefits of high speed inherited from parallel computing. Experiments show that the two kinds of ILT increase the speed without sacrificing the quality.
     Modeling of kernel-based DfM model. A new kind of kernel-based DfM model is proposed to describe the process from original layout to silicon contour. The kernel elements in this model are obtained through optimization, thus the model has more freedoms and high accuracy; no process parameters are used in modeling explicitly, so the model can be released to designers; and the contour can be predicted by the model directly from original layout without layout correction steps. Experiments show that the model has good accuracy and makes the DfM check of layout in designer side possible.
引文
1. http://www.gov.cn/jrzg/2006-02/09/content_183787.htm.
    2. http://en.wikipedia.org/wiki/Moore's_law.
    3. http://www.itrs.net/.
    4. Wolf, E.B.a.M.,光学原理(第七版).2006:电子工业出版社.
    5. Nicholas G. Doe, a.R.D.E., Optical Proximity Effects in Submicron Photomask CD Metrology. SPIE, Mask Technology for Integrated Circuits and Microcomponents,2000. Vol.2996.
    6. Jochen Gruhn, T.F., and Wolfgang Keller, The Art of Reticle Management. SPIE, Photomask and Next-Generation Lithography Mask Technology,2006. Vol.6283:p.628321.
    7. Wong, A.K., Resolution Enhancement Techniques in Optical Lithography. 2001:SPIE Press.
    8. Lin, B.J., Methods to Print Optical Images at Low-k1 Factors. SPIE, Optical Microlithography,1990. Vol.1264.
    9. LaPedus, M. http://www.eetimes.com/news/semi/showArticle.jhtml;jsessionid=M11HXNSQ YU40EQ.2003.
    10. Tomoharu Fujiwara, J.I., Tadamasa Kawakubo, Yuuki Ishii, Hideharu Kyoda, Shinya Wakamizu, and Takeshi Shimoaoki, Adapting immersion exposure to mass production by adopting a cluster of novel resist-coating/developing and immersion-exposure equipment. SPIE, Advances in Resist Materials and Processing Technology,2007. Vol.6519:p.65190C.
    11. Lapedus, M., http://www.eetimes.com/news/latest/showArticle.jhtml?articleID=197008463. 2007.
    12. Obert Wood, C.-S.K., Karen Petrillo, Hiroyuki Mizuno, Sudhar Raghunathan, John Arnold, Dave Horak, Martin Burkhardt, Gregory McIntyre, Yunfei Deng, Bruno La Fontaine, Uzo Okoroanyanwu, Tom Wallow, Guillaume Landie, Theodorus Standaert, Sean Burns, Christopher Waskiewicz, Hirohisa Kawasaki, James H.-C. Chen, Matthew Colburn, Bala Haran, Susan S.-C. Fan, Yunpeng Yin, Christian Holfeld, Jens Techel, Jan-Hendrik Peters, Sander Bouten, Brian Lee, Bill Pierson, Bart Kessels, Robert Routh, and Kevin Cummings, EUV lithography at the 22nm technology node. SPIE, Extreme Ultraviolet (EUV) Lithography,2010. Vol.7636:p.76361M.
    13. Uzodinma Okoroanyanwu, K.D., Torsten Fahr, Tom Wallow, Bruno La Fontaine, Obert Wood, Chistian Holfeld, Karsten Bubke, and Jan-Hendrik Peters, Analysis and Characterization of Contamination in EUV Reticles. SPIE, Extreme Ultraviolet (EUV) Lithography,2010. Vol.7636:p.76361Y.
    14. Uzodinma okoroanyanwu, A.J., Kornelia Dittmar, Torsten Fahr, Thomas Laursen, Obert Wood, Kevin Cummings, Christian Holfeld, Jan-Hendrik Peters, Eric Gullikson, Bruno La Fontaine, Monitoring Reticle Molecular Contamination in ASML EUV Alpha Demo Tool. SPIE, Extreme Ultraviolet (EUV) Lithography,2010. Vol.7636:p.76360H.
    15. Capodieci, L., From Optical Proximity Correction to Lithography-Driven Physical Design (1996-2006):10 years of Resolution Enhancement Technology and the Roadmap Enablers for the Next Decade. Proc. of SPIE, 2006. Vol.6154:p.615401.
    16. Peter D. Buck, a.M.L.R., Phase-shift mask applications. SPIE, Optical Microlithography,1991. Vol.1463:p.218.
    17. Z. Mark Ma, S.M., and Chris Progler, Revisiting adoption of high transmission PSM:pros, cons and path forward. SPIE, Lithography Asia,2009. Vol.7520: p.752017.
    18. HanMin Yao, X.L., Xunan Chen, and Feng Boru, OAI and PSM used in 193-nm microlithography. SPIE, Lithography for Semiconductor Manufacturing 1999. Vol.3741:p.253
    19. Lori A. Joesten, M.T.R., Jason DeSisto, and Christiane Jehoul, Effect of scattering bar assist features in 193-nm lithography. SPIE, Optical Microlithography,2004. Vol.4691:p.861
    20. Oberdan W. Otto, J.G.G., K. K. Low, Chi-Min Yuan, Richard C. Henderson, Christophe Pierrat, Robert L. Kostelak, Sheila Vaidya, and P. K. Vasudev, Automated optical proximity correction:a rules-based approach. SPIE, Optical Microlithography,1994. Vol.2197:p.278.
    21. Jiangwei Li, D.A.B., Juan C. Rey, and Victor V. Boksha, Model-based optical proximity correction including effects of photoresist processes SPIE, Optical Microlithography,1997. Vol.3051:p.643.
    22. Linyong Pang, D.P., Peter Hu, Dongxue Chen, Tom Cecil, Lin He, Guangming Xiao, Vikram Tolani, Thuc Dam, Ki-Ho Baik, and Bob Gleason, Optimization from design rules, source and mask, to full chip with a single computational lithography framework:level-set-methods-based inverse lithography technology (ILT). SPIE, Optical Microlithography,2110. Vol. 7640:p.764000.
    23. John S. Petersen, M.J.M., and Robert T. Greenway, An integrated imaging system for the 45-nm technology node contact holes using polarized OAI, immersion, weak PSM, and negative resists. SPIE, Optical Microlithography, 2004. Vol.5754:p.488
    24. Taichi Yamazaki, Y.K., Mitsuharu Yamana, Takashi Haraguchi, and Tsuyoshi Tanaka, Fine pattern fabrication property of binary mask and attenuated phase shift mask. SPIE, Photomask and Next-Generation Lithography Mask Technology,2009. Vol.7379:p.73791V.
    25. Tae-Seung Eom, J.-T.P., Jung-Hyun Kang, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon Lee, Chang-Moon Lim, HyeongSoo Kim, and Seung-Chan Moon, Comparative study of binary intensity mask and attenuated phase shift mask using hyper-NA immersion lithography for sub-45nm era. SPIE, Optical Microlithography,2008. Vol.6924:p.69240H.
    26. Young-Min Kang, a.H.-K.O., Optimum biasing for 45 nm node chromeless and attenuated phase shift mask. SPIE, Optical Microlithography,2008. Vol. 6924:p.692433.
    27. Yosuke Kojima, T.K., Jun Sasaki, Keishi Tanaka, Toru Komizo, Motohiko Morita, Masanori Shirasaki, Takashi Ohshima, Hiroyuki Takahashi, Kazuaki Chiba, Masao Otaki, and Yoshimitsu Okuda, Study of alternating phase shift mask structures for ArF lithography. SPIE, Photomask and Next-Generation Lithography Mask Technology,2004. Vol.5446:p.570.
    28. Yosuke Kojima, M.S., Kazuaki Chiba, Tsuyoshi Tanaka, Yukio Inazuki, Hiroki Yoshikawa, Satoshi Okazaki, Kazuya Iwase, Kiichi Ishikawa, Ken Ozawa, Alternating phase-shift mask and binary mask for 45-nm node and beyond:the impact on the mask error control. SPIE, Photomask and Next-Generation Lithography Mask Technology,2007. Vol.6607:p.66070C
    29. www.halbleiter.org/lithografie/maskentechnik/.
    30. Young-Chang Kim, G.V., Staf Verhaegen, and Kurt G. Ronse, Challenge for sub-100-nm DRAM gate printing using ArF lithography with combination of moderate OAI and attPSM. SPIE, Photomask Technology,2002. Vol.4562:p. 954.
    31. Granik, Y., Source Optimization for Image Fidelity and Throughput. Journal of Micro/Nanolithography, MEMS, and MOEMS,2004. October:p.509.
    32. Granik, Y., Illuminator Optimization Methods in Microlithography. SPIE, Novel Optical Systems Design and Optimization,2004. Vol.5524:p.217.
    33. Travis Brist, G.E.B., Alexander Drozdov, Andres Torres, Andrew Estroff, Eric Hendrickx, Source Polarization and OPC effects on Illumination Optimization. SPIE, Photomask Technology,2005. Vol.5992(599232).
    34. Manabu Hakko, K.Y., Miyoko Kawashima, Yoshiyuki Sekine, Masakatsu Ohta, and Tokuyuki Honda, Extension of the 2D-TCC Technique to Optimize Mask Pattern Layouts. SPIE, Photomask and Next-Generation Lithography Mask Technology,2008. Vol.7028:p.70280Z.
    35. Jue-Chin Yu, P.Y., and Hsueh-Yung Chao, Model-based sub-resolution assist features using an inverse lithography method. SPIE, Lithography Asia,2008. Vol.7140:p.714014.
    36. Gi-Sung Yoon, H.-B.K., Jeung-Woo Lee, Seong-Woon Choi, and Woo-Sung Han, Phase-shifted assist feature OPC for sub-45-nm node optical lithography. SPIE, Optical Microlithography,2007. Vol.6520:p.65201A
    37. Peter Buck, R.G., Joseph Straub, Mask manufacturing rules checking (MRC) as a DFM strategy. SPIE, Design for Manufacturability through Design-Process Integration,2007. Vol.6521:p.65211V.
    38. Pikus, J.A.T.a.F.G., Unified process aware system for circuit layout verification. SPIE, Design for Manufacturability through Design-Process Integration,2007. Vol.6521:p.652108
    39. Jacob Orbon, L.L., Ofer Bokobza, Rinat Shimshi, Manjari Dutta, Brian Zhang, Dennis Ciplickas, Teri Pham, and Jim Jensen, Integrated electrical and SEM-based defect characterization for rapid yield ramp. SPIE, Data Analysis and Modeling for Process Control 2004. Vol.5378:p.142.
    40. Stefan Majoni, I.E., Enhancing yield and productivity with process control applications for contact and via module. SPIE, Metrology, Inspection and Process control for Microlithography,2003. Vol.5038:p.255.
    41. Jacques Herry, R.M., Hanno Melzner, Kai Peter, Olivier Rizzo, A routing clean-up methodology for improvement of defect and lithography related yield. SPIE, Design for Manufacturability through Design-Process Integration,2008. Vol.6925:p.69250J
    42. Greg Yeric, B.H., and Rahul Kapoor, Accurate Lithography Analysis for Yield Prediction. SPIE, Photomask Technology,2007. Vol.6730:p.67300S.
    43. Albert H. Liu, R.S., and John H. Givens, Utilization of optical metrology as an in-line characterization technique for process performance improvement and yield enhancement of dielectric and metal CMP in IC manufacturing. SPIE, In-Line Characterization, Yield Reliability, and Failure Analyses in Microelectronic Manufacturing 1999. Vol.3743:p.102.
    44. Lee, S.S.a.S., A method of obtaining optical lithography friendly layout using a model for first level defects. SPIE, Design for Manufacturability through Design-Process Integration,2008. Vol.6925:p.69251P.
    45. Ruiqi Tian, D.F.W., Robert Boone, Model-Based Dummy Feature Placement for OxideChemical-Mechanical Polishing Manufacturability. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS,200. VOL.20(No.7):p.902.
    46. Dan Perry, M.N., Nishath Verghese, Philippe Hurat, and Rich Rouse, Model-based approach for design verification and co-optimization of catastrophic and parametric-related defects due to systematic manufacturing variations. SPIE, Design for Manufacturability through Design-Process Integration,2007. Vol.6521:p.65210E
    47. Jie Yang, L.C., Dennis Sylvester, Advanced timing analysis based on post-OPC patterning process simulations. SPIE, Design and Process Integration for Microelectronic Manufacturing,2005. Vol.5756:p.189
    48. Yang, Y.S., Zheng; Yan, Xiaolang; Chen, Ye, Model-based dynamic dissection in OPC.半导体学报,2008. Vol.29(No.7):p.1422-1427.
    49.杨祎巍,史峥,一种新的带有线段与控制点映射模型的光学邻近校正技术.光学学报,2010.Vol.30(No.6).
    50. Yang Yiwei, S.Z., Shen Shanhu, Xie Chunlei, Hot-Spots Aware Inverse Lithography Technology. ECS Transactions,2009. Vol.18(No.1).
    51. Yiwei, Y., Zheng, Shi, Shanhu, Shen, Seamless-merging-oriented parallel inverse lithography technology.半导体学报,2009. Vol.30(No.10):p. 106002-1.
    52. Yiwei Yang, Z.S., Litian Sun, Ye Chen, Zhijuan Hu, A kernel-based DFM model for process from layout to wafer. SPIE, Design for Manufacturability through Design-Process Integration,2010. Vol.7641:p.764100
    53. Chi-Yuan Hung, B.Z., Deming Tang, Eric Guo, Linyong Pang, Yong Liu, Andrew Moore, Kechang Wang, First 65nm Tape-Out Using Inverse Lithography Technology (ILT). SPIE, Photomask Technology,2005. Vol. 5992:p.59221U.
    54. N., C., Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing.1998, University of California, Berkeley.
    55. Andreas Erdmann, T.F., Feng Shao, and Peter Evanschitzky, Lithography simulation:modeling techniques and selected applications. SPIE, Modeling Aspects in Optical Metrology 2009. Vol.7390:p.739002
    56. Peng Yu, S.X.S., and David Z. Pan, Process Variation Aware OPC with Variational Lithography Modeling. ACM, DAC,2006(1-59593-381-6):p. 785.
    57. S. L. Tsai, F.L., Elvis Yang, T. H. Yang, K. C. Chen and C. Y. Lu, Variable Loading Kernels for OPC Modeling. SPIE, Optical Microlithography,2008. Vol.6924:p.69243X.
    58. John Randall, K.R., Thomas Marschner, Mieke Goethals, Monique Erchen, Variable Threshold Resist Models for LIthography Simulation. SPIE, Optical Microlithography,1999. Vol.3679:p.176.
    59. T.A. Brunner, a.F., Approximate Models for Resist Processing Effects. SPIE, 1996. Vol.2726:p.198.
    60. Chang-Nam Ahn, H.-B.K., and Ki-Ho Baik, A Novel Approximate Model for Resist Process. SPIE,1998. Vol.3334:p.12.
    61. Ji-Suk Hong, H.-B.K., Hyoung-Soon Yune, Chang-Nam Ahn, Yung-Mo Koo, and Ki-Ho Baik, The Accuracy of Diffused Aerial Image Model for the Full Chip Level Optical Proximity Correction. SPIE, Optical Microlithography, 2000. Vol.4000:p.1024.
    62. Jim Vasek, E.T., Ir Kusnadi, Ofer Lindman, Ovadya Menadeva, and Ram Peltinov, SEM_Contour Based Mask Modeling. SPIE, Optical Microlithography,2008. Vol.6924:p.69244Q.
    63. Cobb, Y.G.a.N.B., New process models for OPC at sub-90-nm nodes. SPIE, Optical Microlithography,2003. Vol.5040:p.1166
    64. Balasingam, M.H.a.P., Hybrid optical proximity correction:concepts and results. SPIE, Photomask Technology,2002. Vol.4889:p.1173
    65. Hyoung-Soon Yune, C.-K.K., Yeong-Bae Ahn, Byung-Ho Nam, and Donggyu Yim, Highly accurate hybrid-OPC method for sub-60nm memory device. SPIE, Design and Process Integration for Microelectronic Manufacturing,2006. Vol. 6156:p.61561A
    66. N, C., Dragos Dudau, Dense OPC and Verification for 45nm. SPIE, Optical Microlithography,2006. Vol.6154:p.615401.
    67. Linyong Pang, Y.L., Dan Abrams, Inverse Lithography Technology (ILT), What is the Impact to Photomask Industry? SPIE, Photomask and Next-Generation Lithography Mask Technology,2006. Vol.6283:p.62830X.
    68. Daniel S. Abrams, L.P., Fast Inverse Lithography Technology. SPIE, Optical Microlithography,2006. Vol.6154:p.61541J.
    69. Junjiang Lei, M.B., Jim Shiely, and Lin Zhang, Hopkins Equation in Hilbert Space and Its Application in Polarized Illumination Modeling. SPIE, Optical Microlithography,2005. Vol.5754:p.953.
    70. Nicolas B. Cobb, A.Z., and Eugene A. Miloslavsky, Mathematical and CAD framework for proximity correction. SPIE, Optical Microlithography,1996. Vol.2726:p.208
    71. Yuri Granik, N.B.C., and Thuy Do, Universal process modeling with VTRE for OPC. SPIE, Optical Microlithography,2002. Vol.4691:p.377
    72. Amandine Borjon, J.B., Yorick Trouiller, Kyle Patterson, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe Urbani, and Stanislas Baron, Through-process window resist modelling strategies for the 65 nm node. SPIE, Photomask Technology,2005. Vol.5992:p.599219
    73. Amyn Poonawala, P.M., OPC and PSM Design Using Inverse Lithography:A Non-linear Optimiztion Approach. SPIE, Optical Microlithography,2006. Vol. 6154:p.61543H.
    74. 熊伟,张进宇,Tsai Min-Chun,王余.,65nm 工艺节点下的光刻掩模版优化算法.计算机辅助设计与图形学学报,2008.Vol.20(No.5):p.577.
    75. Linyong Pang, G.D., Tom Cecil, Thuc Dam, Ying Cui, Peter Hu, Dongxue Chen, Ki-Ho Baik, and Danping Peng, Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes. SPIE, Optical Microlithography,2008. Vol.6924:p.69240T
    76. Amyn Poonawala, P.M., Double-exposure mask synthesis using inverse lithography. J. Micro/Nanolith. MEMS MOEMS,2007. Vol.6.
    77. Simon Chang, J.B., Steve Prins, Scott Jessen, Thuc Dam, Guangming Xiao, Linyong Pang, and Bob Gleason, Exploration of complex metal 2D design rules using inverse lithography. SPIE, Design for Manufacturability through Design-Process Integration 2009. Vol.7275:p.72750D
    78. Youping Zhang, M.F., Hua-yu Liu, A Focus Exposure Matrix Model for Full Chip Lithography Manufacturability Check and Optical Proximity Correction. SPIE, Photomask and Next-Generation Lithography Mask Technology,2006. Vol.6283:p.62830W.
    79. Linyong Pang, P.H., Danping Peng, Dongxue Chen, Tom Cecil, Lin He, Guangming Xiao, Vikram Tolani, Thuc Dam, Ki-Ho Baik, and Bob Gleason, Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods. SPIE, Lithography Asia,2009. Vol.7520:p.75200X
    80. Thuc Dam, V.T., Peter Hu, Ki-Ho Baik, Linyong Pang, Bob Gleason, Steven D. Slonaker and Jacek K. Tyminski, Source-mask optimization (SMO):from theory to practice. SPIE, Optical Microlithography,2010. Vol.7640:p. 764028
    81. Seiji Nagahara, K.Y., Hiroshi Yamazaki, Kazuhiro Takeda, Takayuki Uchiyama, Stephen Hsu, Zhipan Li, Hua-yu Liu, Keith Gronlund, Terunobu Kurosawa, Jun Ye, Luoqi Chen, Hong Chen, Zheng Li, Xiaofeng Liu, and Wei Liu, SMO for 28-nm logic device and beyond:impact of source and mask complexity on lithography performance. SPIE, Optical Microlithography, 2010. Vol.7640:p.76401H
    82. Tim Kong, H.L., Vivek Raghavan, Alfred K. Wong, and Sarah Xu, Model-assisted routing for improved lithography robustness. SPIE, Design for Manufacturability through Design-Process Integration,2007. Vol.6521:p. 65210D
    83. Qiaolin Zhang, P.V., and Kevin Lucas, Efficient post-OPC lithography hotspot detection using a novel OPC correction and verification flow. SPIE, Photomask and Next-Generation Lithography Mask Technology,2007. Vol. 6607:p.66072X
    84. Hiromitsu Mashita, T.K., Fumiharu Nakajima, Hidefumi Mukai, Kazuya Sato, Satoshi Tanaka, Kohji Hashimoto, and Soichi Inoue, Tool-induced hotspot fixing flow for high volume products. SPIE, Photomask and Next-Generation Lithography Mask Technology,2008. Vol.7028:p.702831
    85. Duo Ding, X.W., Ghosh, J., Pan, D.Z.;, Machine learning based lithographic hotspot detection with critical-feature extraction and classification IC Design and Technology,2009. ICICDT'09. IEEE International Conference on 2009: p.219-222.
    86. Vito Dai, L.C., Jie Yang, and Norma Rodriguez, Developing DRC plus rules through 2D pattern extraction and clustering techniques. SPIE, Design for Manufacturability through Design-Process Integration,2009. Vol.7275:p. 727517
    87. Vito Dai, J.Y., Norma Rodriguez, and Luigi Capodieci, DRC Plus: augmenting standard DRC with pattern matching on 2D geometries. SPIE, Design for Manufacturability through Design-Process Integration,2007. Vol. 6521:p.65210A
    88. Taehyeong Lee, H.Y., Jungchan Kim, Areum Jung, Gyun Yoo, Donggyu Yim, Sungki Park, Akio Ishikawa, Masahiro Yamamoto, Abhishek Vikram, Hot spot management through design based metrology:measurement and filtering. SPIE, Lithography Asia,2009. Vol.7520:p.75201U
    89. Mark van de Kerkhof, E.v.S., Andre Engelen, Vincent Plachecki, Hua-yu Liu, Emil Schmitt-Weaver, Wilbert Rooijakkers, and Klaus Simon, Imaging Performance Optimization for Hyper-NA Scanner Systems in High Volume Production. SPIE, Optical Microlithography,2008. Vol.6924:p.69241W.
    90. James Word, N.B.C., Enhanced Model Based OPC for 65nm and Blow. SPIE, Photomask Technology,2004. Vol.5567:p.1305.
    91. M. Bahnas, M.A.-I., A. Seoud, P. LaCour, H. F. Ragai, Implementation of adaptive site optimization in model-based OPC for minimizing ripples. SPIE, Design and Process Integration for Microelectronic Manufacturing,2006. Vol. 6156:p.615615
    92. Chih-Ming Lai, J.-S.H., Chien-Wen Lai, Cheng-Kun Tsai, Cherng-Shyan Tsay, Jeng-Horng Chen, Ru-Gun Liu, Yao C. Ku, and Burn-Jeng Lin Phenomena and OPC solution of ripple patterns for 65-nm node SPIE, Optical Microlithography,2004. Vol.5377:p.1165
    93. Cobb, N., Flexible sparse and dense OPC algorithms. SPIE, Photomask and Next-Generation Lithography Mask Technology,2005. Vol.5853:p.693
    94. Apo Sezginer, B.Y., Hsu-Ting Huang, Vishnu Kamat, and Yung-Tin Chen, Optimal Segmentation of Polygon Edges. SPIE, Design and Process Integration for Microelectronic Manufacturing,2006. Vol.6156:p.61561G.
    95. Ye Chen, K.W., Zheng Shi, Xiaolang Yan, A Feasible Model-Based OPC Algorithm Using Jacobian Matrix of Intensity Distribution Functions. SPIE, Optical Microlithography,2007. Vol.6520:p.65204C.
    96. Mohamed Bahnas, M.A.-I., Tamer Tawfik, Toward Fast OPC Convergence: Advanced Analysis for OPC Iterations and Simulation Environment. SPIE, Photomask Technology,2008. Vol.7122:p.712248
    97. N.B.Cobb, Y.G., Model-Based OPC Using the MEEF Matrix. SPIE, Photomask Technology,2002. Vol.4889:p.1281
    98. Yi-Shiang Chang, M.-F.T., Chia-Chi Lin, and Jun-Cheng Lai, Pattern Decompositioin and Process Integration of Self-Aligned Double Patterning for 30nm Node NAND FLASH Process and Beyond. SPIE, Optical Microlithography,2009. Vol.7274:p.72743E.
    99. Benjamin Lin, M.F.S., Jie-wei Sun, Jonathan Ho, Yan Wang, Xin Wu, Wolfgang Leitermann, Orson Lin and Jason Lin, Inverse lithography technology at chip scale. SPIE, Optical Microlithography,2006. Vol.6154:p. 615414
    100. Amyn Poonawala, P.M., Mask Design for Optical Microlithography-An Inverse Imaging Problem. IEEE TRANSACTIONS ON IMAGE PROCESSING,2007. Vol.16(No.3):p.774-788
    101. Webb, C., Intel design for manufacturing and evolution of design rules. SPIE, Design for Manufacturability through Design-Process Integration,2008. Vol. 6925:p.692503
    102. Granik, Y., Calibration of Compact OPC Models Using SEM Contours. SPIE, Photomask Technology,2005. Vol.5992:p.59921V.
    103. Xuelong Shi, J.F.C., Doug Van Den Broeke, Stephen Hsu, and Michael Hsu, Quantification of Two-Dimensional Structures Generalized for OPC Model Verification. SPIE, Metrology, Inspection and Process control for Microlithography,2007. Vol.6518:p.65180A.
    104. Daniel Fischer, G.H., James Oberschmidt, Yong Wah Cheng, Jae Yeol Maeng, Charles Archie, Wei Lu and Cyrus Tabery, Challenges of Implementing Contour Modeling in 32nm Technology. SPIE, Metrology, Inspection and Process control for Microlithography,2008. Vol.6822:p.69220A.
    105. Cyrus Tabery, H.M., Ryoichi Matsuoka Lorena Page, George E. Bailey, Ir Kusnadi, and Thuy Do, SEM Image Contouring for OPC Model Calibration and Verification. SPIE, Optical Microlithography,2007. Vol.6520:p. 652019.
    106. Paul Filitchkin, T.D., Ir Kusnadi, John L. Sturtevant, Peter deBisschop, Jeroen Van de Kerkhove, Contour Quality Assessment for OPC Model Calibration. SPIE, Metrology, Inspection and Process control for Microlithography,2009. Vol.7272:p.72722Q.
    107. Yuri Granik, I.K., Challenges of OPC Model Calibration from SEM Contours. SPIE, Metrology, Inspection and Process control for Microlithography,2008. Vol.6922:p.69221H.
    108. Al-Imam, M., Design Driven Test Patterns for OPC Models Calibration. SPIE, Optical Microlithography,2009. Vol.7274:p.727427.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700