基于元构件的FPGA硬件构件设计技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成度的提高,芯片内部晶体管数目越来越多,集成电路设计的复杂度越来越高,计算机辅助设计技术愈发变得重要。由于具有硬件基于空域并行处理的特点且可编程,FPGA被广泛应用在不同领域。传统FPGA设计需要经过逻辑综合、映射、装箱和布局布线处理几个阶段,针对不同阶段的设计算法成为研究热点。博士研究课题依托国家863计划信息技术领域重大课题“可重构路由器构件组研制”,针对FPGA硬件构件流水线设计特性以及元构件概念的提出,对基于元构件的FPGA硬件构件设计技术进行了相关研究。论文的主要研究内容和创新工作如下:
     (1)针对FPGA设计周期长、开发效率低的问题,在对硬件构件结构进行分析的基础上提炼出具有基本功能的硬件编程程序——元构件,并利用某一具体型号FPGA逻辑单元块网表类型是固定的、可以直接调用的结构特点,将硬件描述语言级元构件进行逻辑综合、映射、装箱后放入元构件库中供硬件构件开发时调用,提出了基于元构件的FPGA设计流程。利用该设计流程可以加速FPGA硬件构件的开发进度。
     (2)针对FPGA设计映射阶段现有再综合算法为了保证时序电路关键路径时延不超过设计时钟周期而对路径时延增加的映射方案进行舍弃的问题,利用时序电路中存在的时间裕量消除路径时延增加的影响,通过局部重定时消除寄存器对映射范围的限制,通过全局重定时保证整个时序电路满足时钟周期的要求,提出了一种基于时间裕量参数的时序电路再综合算法。实验结果表明,该算法能在设计时钟周期约束下有效提高映射面积优化能力。
     (3)针对FPGA设计装箱阶段现有算法对布通率优化支持有限的问题,从装箱操作过程中相关网线被完全吸收的可行度和对减少被占用端口的贡献度两方面研究出发,构造了布通率驱动函数,并通过吸收关键路径来满足路径时延要求,通过爬山法提高资源利用率,提出了一种基于网线吸收和端口占用分析的FPGA装箱算法。实验结果表明,该算法能有效降低逻辑单元块外部网线数和平均被占用引脚数,从而提高整个电路后续布线布通率。实验同时表明结合时延驱动参数该算法能更有效地降低装箱后电路关键路径时延。
     (4)针对FPGA设计布局阶段现有模拟退火算法中以各自布局关键路径时延为基础衡量布局质量的时延代价计算在一定条件下并不能准确反映实际布局变化情况的问题,证明了以统一关键路径时延为基准进行计算的时延代价在任何情况下都可与布局变化情况相匹配,通过引入惩罚系数有效降低关键路径时延增加布局方案被接受的概率,并根据惩罚系数对关键路径时延收敛效果的影响制定基准值设置标准,验证模拟退火过程在每次温度标准下设置一致的关键路径时延基准是有效的,提出了一种以统一关键路径时延为基准FPGA模拟退火布局算法。实验结果表明,该算法能在线性拥挤代价增加有限的情况下有效降低关键路径时延。
     (5)针对模拟退火算法搜索空间大、运行时间长、不适应大规模电路布局的问题,利用元构件内部逻辑单元块之间联系紧密、在理想情况下往往布局在相邻位置的特点,提出了基于元构件的二次布局方案。元构件初始布局利用解析法进行元构件间以及元构件和端口间线长优化,通过保证元构件布局区域边长平衡进行布通率优化。元构件内部逻辑单元块再次布局则利用较好初始布局质量通过设置较低的模拟退火初始温度和移动范围加快逻辑单元块模拟退火布局进程。实验结果表明,二次布局算法能在保障布局质量的前提下有效降低运行时间。
With the improvement of integration, the number of transistors inside the chip is increasing. As the design's complexity of integrated circuit is getting higher and higher, computer aided design technology has become increasingly important. Due to the hardware parallel processing based on spatial characteristics and programmable, FPGA has been widely used in different areas. Traditionally FPGA design needs to go through logic synthesis, mapping, packing, placement and routing processing stages, and the algorithms for different stages of design flow become research focus. Combined with the research of the National High-Tech Research and Development Program of China (863 program)“Research of Reconfigurable Router and its Components”in information technology field, this dissertation mainly studies the design technology in view of meta-component and pipe line design characteristic of FPGA hardware component. The main works and contributions in this paper are outlined as follows:
     As FPGA design cycle is long, in order to accelerate FPGA hardware component development progress, a new FPGA development flow is proposed. Meta-components are extracted based on hardware component structure analysing. As the block level netlist format of a specific type of FPGA is fixed, which can used directly, the hardware description language level netlist of meta-component can be transformed into block level netlist by carrying on logic synthesis, mapping and packing in advance, which can be called from its library directly in hardware component designing.
     In order to guarantee that the Critical Path Delay (CPD) of sequential circuits shall not exceed design clock cycle, the re-synthesis algorithm in technology mapping step discards all the mapping process which increases path delay. A sequential re-synthesis algorithm based on slack parameters is proposed. The increasing delay is compensated by slack, restriction on the scope of the mapping is broken by local retiming and the requirement of clock cycle is guaranteed by global retiming. Experimental results show that the proposed algorithm can improve the efficiency of area optimization of re-synthesis algorithm under the restriction of design clock cycle.
     In order to improve routability in FPGA design packing step, a packing algorithm based on analysis of wire absorption and port occupancy is proposed. A routability driven function is defined based on analysis of influence of packing on wire absorption and port occupancy. Path delay requirements are ensured by absorbing critical paths and area-efficiency is improved by hill-climbing. Experimental results show that by absorbing more wires and decreasing occupying ports, the proposed algorithm can improve routability efficiently. In addition, the CPD also can be decreased by integrating delay driven parameter.
     In traditional simulated annealing algorithm in FPGA design placement step, the timing quality of one layout is measured by timing cost which is calculated based on its CPD. In some circumstances, the timing cost and the layout transformation does not match. A simulated annealing FPGA placement algorithm based on unified CPD is proposed which proves that the timing cost calculated based on unified CPD can match layout transformation in all circumstances, the probability of accepting a move which exceeding CPD is reduced by introducing punishment factor, benchmark standard of punishment factor is setting according to impact on convergence of CPD. Experimental results show that the proposed algorithm can decrease CPD effectively.
     As the searching space is large, simulated annealing needs more operation time and does not adapt to large-scale circuit’s placement. A twice placement scheme is proposed according to the characteristics that the internal logic unit blocks in meta-component are closely related and ideally layout in adjacent position. A region distribution technology for meta-component initial placement is proposed. This technology first carries on wire optimization by using quadratic method, and then carries on routability optimization by partitioning meta-component set in a way which ensures balanced length-width ratio of distributed region for meta-component. As to block placement in distributed area for meta-components, the simulated annealing layout process can be accelerated by setting a lower annealing temperature and a smaller moving range. Experimental results show that the proposed twice placement scheme is effective.
引文
[1] Berkeley Logic Synthesis and Verification Group. ABC: A System for Sequential Synthesis and Verification, Release 61225[EB/OL]. http://www.eecs.berkeley.edu/~alanmi/abc/.
    [2] Betz V, Campbell T, Fang W M, et al. VPR and T-VPack User’s Manual, Release 5.0[EB/OL]. http://www.eecg.toronton.edu/~vaughn/challenge/.
    [3] Rose J, Gamal A E, Sangiovanni-Vincentelli A. Architecture of field-programmable gate arrays[J]. Proceedings of the IEEE, 1993, 81(7): 1013-1029.
    [4] Brown S, Francis R, Rose J, et al. Field-programmable gate arrays[M]. Norwell, Massachusetts, United States: Kluwer Academic Publishers, 1992: 14-20.
    [5] Rose J, Francis R, Lewis D, et al. Architecture of field-programmable gate arrays: the effect of logic block functionality on area efficiency[J]. IEEE Journal of Solid State Circuits, 1990, 25(5): 1217-1225.
    [6] Chung K, Singh S, Rose J, et al. Using hierarchical logic blocks to improve the speed of field-programmable gate arrays[C]. Proceeding of International Workshop on field programmable logic and applications, 1991: 4-6.
    [7] Betz V, Rose J. How much logic should go in an FPGA logic block?[J]. IEEE Design and Test Computers, 1998, 15(1): 10-15.
    [8] Rose J, Brown S. Flexibility of interconnection structures for field-programmable gate arrays[J]. IEEE Journal of Solid State Circuits, 1991, 26(3): 277-282.
    [9] Liu J, Fan H, Wu Y L. On improving FPGA routability applying multi-level switch boxes[C]. Proceedings of Asia and South Pacific Design Automation Conference. New York: ACM, 2003: 366-369.
    [10]谈珺,申秋实,王伶俐等. FPGA通用开关盒层次化建模与优化[J].电子与信息学报, 2008, 30(5): 1239-1242.
    [11] Brown S, Khellah M, Lemieux G. Segmented routing for speed-performance and routability in field-programmable gate arrays[J]. Journal of VLSI Design, 1996, 14(4): 275-291.
    [12] Khellah M, Brown S, Vranesic Z. Minimizing interconnection delays in array-based FPGAs[C]. Proceedings of the IEEE Custom Integrated Circuits Conference. Washington: IEEE Computer Society, 1994: 181-184.
    [13] Brown S, Khellah M, Vranesic Z. Minimizing FPGA interconnect delays[J]. IEEE Design and Test Computers, 1996, 13(4): 16-23.
    [14]徐新民,王倩,严晓浪. FPGA布线通道分布对面积效率的影响研究[J].电子与信息学报, 2006, 28(10): 1959-1962.
    [15] Hauck S, DeHon A. Reconfigurable computing: the theory and practice of FPGA-based computation[M]. Burlington, Massachusetts United States: Morgan Kaufmann Publishers, 2008: 877.
    [16] Mishchenko A, Chatterjee S, Brayton R. Improvements to technology mapping for LUT-based FPGAs[J]. IEEE Transactions on CAD, 2007, 26(2): 240-253.
    [17] Takata T, Matsunaga Y. A heuristic algorithm for LUT-based FPGA technology mapping using the lower bound for DAG covering problem [C]. Proceedings of ACM/SIGDA international symposium on Field programmable gate arrays. New York: ACM, 2010.
    [18] Huang S C, Jiang J. A dynamic accuracy-refinement approach to timing-driven technology mapping[C]. Proceedings of the IEEE International Conference on Computer Design. Washington: IEEE Computer Society, 2008: 538-543.
    [19] Cheng L, Chen D M, Wong M, et al. Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains[C]. Proceedings of the IEEE International Conference on Computer-Aided Design. Piscataway: IEEE Press, 2007: 370-375.
    [20] Minkovich K, Cong J. Mapping for better than worst-case delays in LUT-based FPGA designs[C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 2008: 56-64.
    [21] Takata T,Matsunaga Y. Area Recovery under Depth Constraint by Cut Substitution for Technology mapping for LUT-based FPGAs[C]. Proceedings of the 2008 Asia and South Pacific Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2008: 144-147.
    [22] Frederick M, Somani A. Beyond the arithmetic constraint: depth-optimal mapping of logic chains in LUT-based FPGAs[C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 2008: 37-46.
    [23] Anderson J H, Najm F N. Power-aware technology mapping for LUT-based FPGAs[C]. Proceedings of the IEEE International Conference on Field-Programmable Technology. Washington: IEEE Computer Society, 2002: 211-218.
    [24] Chen D, Cong J, Li F, et al. Low-power technology mapping for FPGA architectures with dual supply voltages[C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 2004: 109-117.
    [25] Li H, Katkoori S, Mak W K. Power minimization algorithms for LUT-based FPGA technology mapping[J]. ACM Transactions on Design Automation of Electronic Systems, 2004, 9(1): 1-19.
    [26] Wang Z H, Liu E C, Lai J, et al. Power minimization in LUT-based FPGA technology mapping[C]. Proceedings of the Asia and South Pacific Design Automation Conference, Yoko Hama, Japan, 2001: 635-640.
    [27] Jang S, Chan B, Chung K, et al. WireMap: FPGA technology mapping for improved routability[C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 2008: 47-55.
    [28] Schlag M, Kong J, Chan P K. Routability-driven technology mapping for lookup table based FPGAs[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, 13(1): 13-26.
    [29] Mishchenko A, Cho S M, Chatterjee S, et al. Cutless FPGA mapping[R]. Berkeley:Department of EECS, University of California, 2007:
    [30] Cong J, Minkovich K. Lut-based FPGA technology mapping for reliability[C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 2010: 517-522.
    [31] Chen K C, Cong J, Ding Y, et al. DAGmap: Graph-based FPGA technology mapping for delay optimization[J]. IEEE Design and Test of Computers, 1992, 9(3): 7-20.
    [32] Legl C, Wurth B, Eckl K. A Boolean approach to performance-directed technology mapping for LUT-based FPGA designs[C]. Proceedings of Design Automation Conference. New York: ACM, 1996: 730-733.
    [33] Moskewicz M, Madigan C, Zhao Y, et al. Chaff: Engineering an efficient SAT solver[C]. Proceedings of Design Automation Conference. New York: ACM, 2001: 530-535.
    [34] Ling A, Singh D P, Brown S D. FPGA technology mapping: a study of optimality[C]. Proceedings of Design Automation Conference. New York: ACM, 2005: 427-432.
    [35] Cong J, Minkovich K. Improved SAT-based boolean matching using implicants for LUT-based FPGAs[C]. Proceedings of 15th International Symposium on Field Programmable Gate Arrays. New York: ACM, 2007: 139-147.
    [36] Hu Y, Shih V, Majumdar R, et al. FPGA area reduction by multioutput function based sequential resynthesis[C]. Proceedings of Design Automation Conference. New York: ACM, 2008: 24-29.
    [37]汪宇,王伶俐,童家榕.一种新型FPGA逻辑单元结构的装箱工具[J].复旦学报(自然科学版), 2006, 45(4): 529-532.
    [38] Hagen L, Kahng A. Fast spectral methods for ratio cut partitioning and clustering[C]. Proceedings of the IEEE International Conference on Computer-Aided Design. Washington: IEEE Computer Society, 1991:10-13.
    [39] Alpert C, Yao S Z. Spectral partitioning: the more eigenvectors, the better[C]. Proceedings of Design Automation Conference. New York: ACM, 1995: 195-200.
    [40] Murgai R, Brayton R, Sangiovanni-Vincentelli A. On clustering for minimum delay/area[C]. Proceedings of the IEEE International Conference on Computer-Aided Design. Washington: IEEE Computer Society, 1991: 6-9.
    [41] Rajaraman R, Wong D F. Optimal clustering for delay minimization[C]. Proceedings of Design Automation Conference. New York: ACM, 1993: 309-314.
    [42] Yang H, Wong D F. Circuit clustering for delay minimization under area and pin constraints[C]. Proceedings of the European Conference on Design and Test. Washington: IEEE Computer Society, 1995: 65-70.
    [43] Cong J, Peck J, Ding Y. RASP: A general logic synthesis system for SRAM-based FPGAs [C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 1996: 137-143.
    [44] Shin H, Kim C. A simple yet effective technique for partitioning[J]. IEEE Transactions on VLSI Systems, 1993, 1 (3): 380-386.
    [45] Pandit A, Easwaran L, Akoglu A. Concurrent timing based and routability drivendepopulation technique for FPGA packing[C]. Proceedings of International Conference on Electrical and Computer Engineering Technology. Washington D C: IEEE Computer Society Press, 2008: 325-328.
    [46] Chiu D, Lemieux G, Wilton, S. Congestion-driven regional re-clustering for low-cost FPGAs[C]. Proceedings of International Conference on Field-Programmable Technology. Washington D C: IEEE Computer Society Press, 2009: 167-174.
    [47] Betz V, Rose J. VPR: a new packing, placement and routing tool for FPGA research[C]. Proceedings of the International Workshop on Field-Programmable Logic and Applications, London, UK, 1997: 213-222.
    [48] Marquardt A, Betz V, Rose J. Using cluster based logic blocks and timing-driven packing to improve FPGA speed and density[C]. Proceedings of International ACM Symposium on Field-Programmable Gate Arrays Citation, Monterey, California, USA, 1999: 37-46.
    [49] Bozorgzadeh E, Ogrenci-Memik S, Yang X, et al. Routability-driven packing: metrics and algorithms for cluster-based FPGAs[J]. Journal of Circuits, Systems, and Computers, 2004, 13(1): 77-100.
    [50] Singh A, Marek-Sadowska M. Efficient circuit clustering for area and power reduction in FPGAs[C]. Proceedings of ACM/SIGDA international symposium on Field-programmable gate arrays. New York: ACM, 2002: 59-66.
    [51]胡云,王伶俐,唐璞山等.基于布通率的FPGA装箱算法[J].计算机辅助设计与图形学学报, 2007, 19(1): 108-113.
    [52] Vorwerk K, Kennings A, Greene J W. Improving simulated annealing-based FPGA placement with directed moves[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2009, 28(2):179-192.
    [53]周锋,童家榕,唐璞山.一种带时延约束的FPGA布局算法[J].计算机辅助设计与图形学学报, 1999, 11(4): 304-308.
    [54] Zeng X Z, Zhou Q, Cai Y C, et al. Wirelength optimization for multilevel hierachical FPGA[C]. Proceedings of World Congress on Software Engineering. Washington: IEEE Computer Society, 2009, 4: 361-366.
    [55]陈苑锋,唐璞山,来金梅等.通用的层次化FPGA划分算法[J].计算机辅助设计与图形学学报, 2006, 18(5): 661-666.
    [56]戴晖,周强,边计年等.层次式FPGA快速布局算法[J].计算机辅助设计与图形学学报, 2010, 22(9):1455-1462.
    [57] Luo T, Pan D Z. DPlace2.0: A stable and efficient analytical placement based on diffusion[C]. Proceedings of Asia South Pacific Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2008: 346-351.
    [58] Viswanathan N, Pan M, Chu C. FastPlace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control[C]. Proceedings of Asia South Pacific Design Automation Conference. Washington: IEEE Computer Society, 2007: 135-140.
    [59] Kleinhans M, Sigl G, Johannes F M, et al. GORDIAN: VLSI placement by quadratic programming and slicing optimization[J]. IEEE Transactions on Computer-Aided Designof Integrated Circuits and Systems, 1991, 10(3): 356-365.
    [60] McMurchie L, Ebeling C. PathFinder: A negotiation-based performance-driven router for FPGAs[C]. Proceedings of the ACM symposium on Field-Programmable Gate Arrays Citation. New York: ACM, 1995: 473-82.
    [61] Rubin R, DeHon A. Timing-driven pathfinder pathology and remediation: quantifying and reducing delay noise in VPR-pathfinder[C]. Proceedings of the International Symposium on Field Programmable Gate Arrays. New York: ACM, 2011: 173-176.
    [62] Dijkstra E W. A note on two problems in connexion with graphs[J]. Numerische Mathematik 1959, 1(1): 269-271.
    [63] Lee C Y. An algorithm for path connections and its applications[J]. IRE Transactions on Electronic Computers, 1961, EC-10 (3): 346-365.
    [64] Nair R. A simple yet effective technique for global wiring[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1987, 6(2): 165-172.
    [65] Compton K, Hauck S. Reconfigurable computing: A survey of systems and software[J]. ACM computing surveys, 2002, 34(2): 171-210.
    [66] Hauck S. The roles of FPGAs in reprogrammable systems[J]. Proceedings of the IEEE, 1998, 86(4): 615-639.
    [67] Bobda C, Majer M, Ahmadinia A, et al. The Erlangen Slot Machine: A highly flexible FPGA-based reconfigurable platform[C]. Proceeding of IEEE Symposium on Field-Programmable Custom Computing Machines. Washington: IEEE Computer Society, 2005: 319-320.
    [68] Bobda C, Majer M, Ahmadinia A, et al. The Erlangen Slot Machine: Increasing flexibility in FPGA-based reconfigurable platforms[C]. Proceedings of the IEEE Conference on Field-Programmable Technology. Washington: IEEE Computer Society, 2005: 37-42.
    [69] Hauser J, Wawrzynek J. Garp: a MIPS processor with a reconfigurable coprocessor[C]. Proceeding of IEEE Symposium on FPGAs for Custom Computing Machines. Washington: IEEE Computer Society, 1997: 16-21.
    [70] Singh H, Lee M H, Lu G, et al. MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications[J]. IEEE Transactions on Computers, 2000, 49(5): 465-481.
    [71] Baumgarte V, Ehlers G, May F, et al. PACT XPP: A Self-reconfigurable data processing architecture[J]. The Journal of Super computing, 2003, 26(2): 167-184.
    [72] Lee D C, Midkiff S F. Reconfigurable Routers: a new paradigm for switching device architecture[EB/OL]. http://www.ccm.ece.vt.edu/papers/.
    [73] Lee D C, Harper S J, Athanas P M, et al. A stream-based reconfigurable router prototype [C]. Proceedings of the IEEE International Conference on Communications. Washington: IEEE Computer Society, 1999: 581-585.
    [74] Hadzic I, Smith J M. P4: a platform for FPGA implementation of protocol. Boosters[C]. Proceeding of International Workshop on Field Programmable Logic and Applications. London: Springer-Verlag, 1997: 438-447.
    [75] Lockwood J W, Naufel N, Turner J S, et al. Reprogrammable network packet processing onthe field programmable port extender (FPX)[C]. Proceeding of ACM International Symposium on Field Programmable Gate Arrays. New York: ACM, 2001: 87-93.
    [76] Lockwood J W, Turner J S, Taylor D E. Field programmable port extender (FPX) for distributed routing and queuing[C]. Proceeding of ACM International Symposium on Field Programmable Gate Arrays. New York: ACM, 2000: 137-144.
    [77] Lockwood J W, Moscola J, Kulig M, et al. Internet worm and virus protection in dynamically reconfigurable hardware[C]. Proceeding of Military and Aerospace Programmable Logic Device, Washington DC, 2003: 9-11.
    [78] Moscola J, Cho Y H, Lockwood J W. A reconfigurable architecture for multi-gigabit speed content-based routing[C]. Proceeding of IEEE Symposium on High-Performance Interconnects. Washington: IEEE Computer Society, 2006: 23-25.
    [79] Schuehler D V, Lockwood J W. A modular system for FPGA-based TCP flow processing in high-speed networks[C]. Proceedings of the International Conference on Field Programmable Logic and Application. Berlin: Springer-Verlag, 2004: 301-310.
    [80] Attig M, Lockwood J W. A framework for rule processing in reconfigurable network systems[C]. Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines. Washington: IEEE Computer Society, 2005: 18-20.
    [81]汪斌强.可重构路由器构件组研制[R].国家高技术研究发展计划(863计划)项目课题申请书.郑州:国家数字交换系统工程技术研究中心,2008-6:
    [82]徐恪.可重构路由交换开发环境[R].国家高技术研究发展计划(863计划)项目课题申请书.北京:清华大学,2008-6:
    [83]汪斌强等.一种可下载到可重构硬件体的硬件构件生成方法和装置[P].中国专利:申请号200810149346.2, 2008-9-19:
    [84]兰巨龙等.一种FPGA硬件构件的生成方法及装置[P].中国专利:申请号201010002017.2, 2010-1-5:
    [85] Eguro K. Supporting high-performance pipelined computation in commodity-style FPGAs[D]. Washington: University of Washington, 2008:
    [86] Leiserson C, Rose F, Saxe J. Optimizing synchronous circuitry by retiming[C]. Proceedings of the 3rd Caltech Conference on VLSI, 1983: 87-116.
    [87] Leiserson C, Saxe J. Retiming synchronous circuitry[J]. Algorithmica, 1991: 6(1): 5-35.
    [88] Cong J, DingY. Flowmap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, 13(1): l-l2.
    [89] Farrahi A H, Sarrafzadeh M. Complexity of the lookup-table minimization problem for FPGA technology mapping[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, 13(11): 1319-1332.
    [90] Cong J, Wu C, Ding E. Cut Ranking and pruning: enabling a general and efficient FPGA mapping solution[C]. Proceedings of Seventh International ACM Symposium on Field-Programmable Gate Arrays. New York: ACM, 1999: 29-35.
    [91] Manohararajah V, Brown S D, Vranesic Z G. Heuristics for area minimization inLUT-based technology mapping[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25(11): 2331-2340.
    [92] Chen D, Cong J. DAOmap: a depth-optimal area optimization mapping algorithm for FPGA designs[C]. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. Washington: IEEE Computer Society, 2004: 752-759.
    [93]张明,温宇杰,童家榕.一种基于模拟退火的LUT结构FPGA工艺映射算法[J].复旦学报(自然科学版), 2005, 44(6): 951-955.
    [94] Mishchenko A, Sungmin Cho, Chatterjee S, et al. Combinational and sequential mapping with priority cuts[C]. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. New Jersey: IEEE Press, 2007: 354-361.
    [95] Niklas Eén, Niklas S?rensson. An Extensible SAT-solver[EB/OL]. http://een.se/niklas/Satzoo/.
    [96] Rose J, Francis R J, Lewis D, et al. Architecture of field-programmable gate arrays: the effect of logic functionality on area efficiency[J]. IEEE Journal of Solid-State Circuits, 1990, 25(5): 1217-1225.
    [97] Betz V, Rose J. Cluster-based logic blocks for FPGAs: area-efficiency versus input sharing and size[C]. Proceedings of the IEEE Conference on Custom Integrated Circuits, Santa Clara, CA, 1997: 551-554.
    [98] Marquardt A, Betz V, Rose J. Speed and area tradeoffs in cluster-based FPGA architectures[J]. IEEE Transactions on Very Large Scale Integration Systems, 2000, 8(1): 84-93.
    [99] Ahmed E, Rose J. The effect of LUT and cluster Size on deep-submicron FPGA performance and density[J]. IEEE Transactions on Very Large Scale Integration Systems, 2004, 12(3): 288-298.
    [100] Chen D T, Vorwerk K, Kennings A. Improving timing-driven FPGA packing with physical information[C]. Proceedings of International Conference on Field Programmable Logic and Applications, Amsterdam, Netherlands, 2007: 117-123.
    [101] Cheng C. RISA: Accurate and efficient placement routablility modeling[C]. Proceedings of IEEE/ACM International Conference on Computer-Aided Design. Los Alamitos: IEEE Computer Society Press, 1994: 690-695.
    [102] Betz V, Rose J, Marquardt A. Architecture and CAD for deep-submicron FPGAs[M]. Norwell: Kluwer Academic Publishers, 1999: 45-46.
    [103] Kong T. A novel net weighting algorithm for timing-driven placement[C]. Proceedings of IEEE/ACM International Conference on Computer-Aided Design. New York: ACM, 2002: 172-176.
    [104] Chen G, Cong J. Simultaneous timing driven clustering and placement for FPGAs[C]. Proceedings of the International Conference on Field-Programmable Logic and Applications. Berlin: Springer Verlag, 2004, LNCS3203: 158-167.
    [105] Eguro K, Hauck S. Simultaneous retiming and placement for pipelined netlists[C]. Proceedings of International Symposium on Filed-Programmable Custom ComputingMachines. Washington: IEEE Computer Society, 2008: 139-148.
    [106] Eguro K, Hauck S. Enhancing timing-driven FPGA placement for pipelined netlists[C]. Proceedings of the 45th Design Automation Conference. New York: ACM, 2008: 34-37.
    [107] Maidee M, Ababei C, Bazargan K. Fast timing-driven partitioning-based placement for island style field-programmable gate arrays[C]. Proceedings of the 40th annual Design Automation Conference. New York: ACM, 2003: 598-603.
    [108]徐嘉伟,来金梅,童家榕.一种新的快速FPGA布局算法[J].复旦学报(自然科学版) 2009, 48(4): 431-437.
    [109] Viswanathan N, Chu C C. Fastplace: Efficient analytical placement using cell shifting, iterative local refinement and a hybrid net model[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2005, 24(5): 722-733.
    [110] Hall K M. An r-dimensional quadratic placement algorithm[J]. Mamagement Science, 1970, 17(3): 219-229.
    [111] Huang M, Romeo F, Sangiovanni-Vincentelli A. An efficient general cooling schedule for simulated annealing[C]. Proceedings of International Conference on Computer-Aided Design, 1986: 381-384.
    [112] Lam J, Delosme J. Performance of a new annealing schedule[C]. Proceedings of the ACM/IEEE Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 1988: 306-311.
    [113] Swartz W, Sechen C. New algorithms for the placement and routing of macro cells[C]. Proceedings of IEEE International Conference on Computer-Aided Design. Washington: IEEE Computer Society, 1990: 336-339.
    [114] Jamieson P, Rose J. A verilog RTL synthesis tool for heterogeneous FPGAs[C]. Proceedings of International Conference on Field Programmable Logic and Applications, 2005: 305-310.
    [115] Jamieson P, Kent, K B, Gharibian F, et al. Odin II - an open-source Verilog HDL synthesis tool for CAD research[C]. Proceedings of International Symposium on Field-Programmable Custom Computing Machines. Washington: IEEE Computer Society, 2010: 149-156.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700