多核SoC片上网络关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
在技术发展以及需求增长的驱动下,未来SoC的集成度和复杂性将继续增大,单个芯片上将集成数百个IP核,包括RISC核、DSP核以及存储单元核等。在这种规模的多核SoC设计中,各个IP核之间如何进行通信成为一个关键问题。因此,未来多核SoC需要采用性能高、功耗低、可扩展性强的片上通信系统,这已经成为近年来研究的热点。
     由于传统的片上通信结构(如总线)已经无法适应当前的设计需求,以通信为中心的片上网络(NoC)技术为多核SoC通信问题提供了新的解决方案。本论文对多核SoC通信网络的设计与优化进行了深入研究,根据目标系统——多核DSP的通信特点,深入分析了NoC设计的关键技术,研究了在满足高性能通信需求的前提下优化功耗与面积开销的NoC设计方法。论文的主要工作与创新点包括:
     1)在NoC的物理层,针对互连实现问题,提出了基于中继驱动器以及低摆幅传输电路的混合插入方法:HI(Hybrid Insertion,混合插入),克服了现有中继驱动器插入方法以及低摆幅传输方法不能兼顾性能与功耗的缺点。导出了采用HI方法时在互连线上插入中继驱动器和低摆幅传输电路的最优个数以及位置,并给出了证明。实验结果表明,HI方法能有效减小全局互连的延迟、功耗以及面积开销。
     2)在HI方法的基础上,提出了基于三维查找表的低摆幅互连估算模型:LSIEM(Low Swing Interconnect Estimation Models,低摆幅互连估算模型),解决了低摆幅互连高层估算模型匮乏、不通用的问题。首先给出了LSIEM模型的算法框架,能在设计初期快速估算长线互连的性能、功耗以及面积开销。利用LSIEM模型进一步提出了OWS-HI(Optimal Wire Sizing for Hybrid Insertion,互连线尺寸优化的混合插入方法)方法,能在采用HI方法互连的同时优化连线的尺寸设置。实验结果表明,与HSPICE模拟相比,LSIEM模型的延时与功耗估算精度均超过90%,并且计算速度提高了95倍。
     3)在NoC的物理层,针对全局同步问题,提出了基于加权Gray码指针以及实时状态检测机制的异步FIFO结构:WG-FIFO(Weighted-Gray code FIFO,加权Gray码FIFO),克服了现有异步FIFO设计保守、低效以及浪费空间的缺点。给出了WG-FIFO的总体结构设计,分析了指针编码方式及状态检测机制的正确性和有效性。实验结果表明,与已有异步FIFO相比,WG-FIFO在FIFO深度为4~16的情况下具有更高的读/写性能以及操作效率,并能减小面积开销。
     4)在NoC的网络层及网络适配层,根据目标系统——多核DSP的通信特点提出一种基于集群思想的层次化NoC架构:LSGT-NoC(Locally Star Globally TorusNetwork-on-Chip,局部星型全局环网结构的片上网络),解决了现有NoC设计不能很好兼顾性能与功耗的问题。提出了层次化的LSGT(Locally Star Globally Torus,局部星型全局环网)拓扑结构,设计了支持批量传输以及集群内组播的传输协议,实现了路由节点、网络接口以及全局链路等NoC基本部件,并特别针对Crossbar和全局链路进行了低功耗优化。实验结果表明,LSGT-NoC架构具有跳步数少、带宽高、功耗低和可扩展性强等优点。
     论文的研究成果为多核SoC的通信问题提供了一个可行的方案,为进一步提高多核SoC的并行性以及实际运行性能提供了理论和实践基础。
With the development of technology and the increase of application requirements, the complexity and capacity of SoCs will continue growing in the future. A single chip in the next decade may contain hundreds of IP cores, including RISC cores, DSP cores and storage elements. In the multi-core SoC designs at such scale, one key issue is how the various IP cores communicate with each other. Therefore, on-chip communication systems with high performance, low power and good scalability for future multi-core SoCs have become a hot research field in recent years.
     Traditional on-chip communication structures, such as buses, can't satisfy the requirements of current multi-core SoC designs. Network-on-Chip (NoC), a communication-centric technique, provides a new solution for communication problem of multi-core SoCs. This dissertation focuses on the design and optimization of NoC in multi-core SoCs. According to the communication features of one target system, multi-core DSP, the dissertation analyses the key techniques of NoC design, and makes a study of the design methods on reducing power dissipation and area overhead on the premise of satisfying the needs of high-performance communications. The main works and contributions of the dissertation are as follows:
     1) In the physical layer of the NoC communication stack, a hybrid insertion strategy based on full-swing repeaters and low-swing transceivers, HI (Hybrid Insertion) strategy, is proposed for global interconnect implementation. The HI strategy can be used to balance interconnect delay and power dissipation well, which is the main shortcomings of current repeater insertion schemes and low-swing transmission schemes. The optimal parameters, including the number and the insertion interval of repeaters and low-swing transceivers required for the HI strategy, are derived and proved in the dissertation. It is shown that the HI strategy can reduce interconnect delay, power dissipation and area cost effectively.
     2) For lack of general high-level estimation models of low-swing interconnects, a three-dimension lookup table based estimation model, LSIEM (Low Swing Interconnect Estimation Models), is presented on the base of the HI strategy. Firstly, the overall framework of LSIEM model is given in the dissertation, and corresponding model can be used to estimate the delay, power and area cost of long wires rapidly during early design stages. Moreover, an OWS-HI (Optimal Wire Sizing for Hybrid Insertion) scheme is proposed by using the LSIEM model. The scheme can be used to optimize the wire size of the HI interconnects. Experiment results show that, compared with HSPICE simulation, the LSIEM model (delay estimation model and power estimation model) has the accuracy of more than 90% and increases computation speed 95 times.
     3) Also in the physical layer of the NoC communication stack, a new asynchronous FIFO structure, WG-FIFO (Weighted-Gray code FIFO), is proposed for global synchronization. The WG-FIFO encodes write/read pointer with a new Weighted-Gray code, and controls write/read operations with real-time global states detectors. Therefore, the proposed FIFO can overcome some shortcomings of existing asynchronous FIFO designs, such as conservativeness, inefficiency and waste of space. In the dissertation, the overall structure of the WG-FIFO is described in detail, and the validity of pointer coding mode and state detection mechanism is analyzed in depth. Simulation results show that all of throughput, area cost, and write/read operation efficiency for the proposed FIFO can be effectively improved in the depth range of 4~16, compared with other available FIFO designs.
     4) In the network layer and network adaptor layer of the NoC communication stack, a clustered hierarchical NoC design, LSGT-NoC (Locally Star Globally Torus Network-on-Chip), is proposed according to the communication features of the target multi-core DSP. The LSGT-NoC design can make a good balance between performance and power dissipation. In the dissertation, the hierarchical LSGT (Locally Star Globally Torus) topology and the transport protocol supporting multicast transmission are introduced. And the essential components of NoC, such as switch, network interface and global link, are implemented. Furthermore, the low-power optimization methods of crossbar and global link are explored especially. Simulation results show that, LSGT-NoC has the advantages of small hop numbers, high bandwidth, low power, and good scalability.
     The research in the dissertation provides a feasible solution for the communication problem in multi-core SoCs, and the results can be used to further improve practical parallelism and performance of multi-core SoCs.
引文
[1]吴德馨,钱鹤,叶甜春,刘明.现代微电子技术.北京:化学工业出版社,2002.
    [2]International Technology Roadmap for Semiconductors(ITRS),2003ed,2005ed.Semiconductor Industry Association,San Jose,CA
    [3]M.B.Taylor et al.The Raw Microprocessor:A Computational Fabric for Software Circuits and General-Purpose Programs.IEEE Transactions on Micro,Vol.22(2),2002.pp.25-35
    [4]David T.Wang.The CELL Microprocessor.Proceedings of IEEE International Solid-State Circuits Conference(ISSCCC),2005.
    [5]K.Sankaralingam,R.Nagarajan,and H.Liu.Exploiting ILP,TLP,and DLP with the Polymorphous TRIPS Architecture.Proceedings of the 30~(th) Annual International Symposium on Computer Architecture(ISCA).San Diego,California,USA,June,2003.pp.422-433
    [6]The Overview of UltraSPARC T1 Processor.Sun Microsystems Inc.http://www.sun.com/,2006.
    [7]思科.CRS-1运营商路由系统构建下一代IP网络.电信科学,2005,21(6):83-84
    [8]Wireless Solutions:OMAP Platform.Texas Instruments.http://www.ti.com,2005.
    [9]Freescale Semiconductor Product Brief Advance Information,MSC8126PB,Rev.6.Freescale Semiconductor.http://www.freescale.com,2005.
    [10]AD14060 Quad-Sharc Data Sheet.Analog Devices Inc.http://www.analog.com,2000.
    [11]龙芯2号增强型高性能通用处理器.中科院计算所.http://www.ict.ac.cn,2006.
    [12]中国芯 北大众志 863CPU.北大众志.http://www.pkunity.com/index.asp,2005.
    [13]陈书明,李振涛,万江华等.“银河飞腾”高性能数字信号处理器研究进展,计算机研究与发展,2006,43(6):993-1000
    [14]胡伟武,张民选.高性能通用微处理器研发现状及发展策略,中国计算机学会通讯,2005.
    [15]A BDTI Analysis of Texas Instrument TMS320C64x.http://www.BDTI.com,2003.
    [16]Dong Wang,Jianwu Ma,and Shuming Chen.The Design and Analysis of a High Performance Embedded External Memory Interface.Proceedings of the 2~(nd) International Conference on Embedded Software and Systems(ICESS).Xi'an,China,2005.
    [17]刘祥远,陈书明.高性能DSP中多通道串口的设计与应用.第八届计算机工程与工艺全国学术年会,昆明,2003.
    [18]潘建军,陈书明.一种高性能主机口的实现.第八届计算机工程与工艺全国学术年会,昆明,2003.
    [19]汪东,方兴,陈书明,郭阳.多核DSP:SoC时代的骄子.第九届计算机工程与工艺全国学术年会,济南,2005.
    [20]The CoreConnect Bus Architecture.IBM Corporation.www.chips.ibm.com,1999.
    [21]AMBA specification,Rev.2.0.ARM Corporation.www.arm.com,1999.
    [22]WISHBONE System-on-Chip Interconnect Architecture for Portable IP Cores.Silicore Corporation.www.silicore.net.2001.
    [23]Jan M.Rabaey,Anantha Chandrakasan,Borivoje Nikolic.Digital Integrated Circuits:A Design Perspective(2~(nd) Edition).影印版.北京:清华大学出版社,2004.
    [24]Reinaldo A.Bergramaschi.The A to Z of SoCs.Proceedings of the IEEE/ACM International Conference on Computer-aided Design(ICCAD).San Jose,California,USA,November,2002.pp.790-798
    [25]Vojin G.Oklobdzija,Vladimir M.Stojanovic,Dejan M.Markovic,and Nikola M.Nedovic.Digital System Clocking:High-Performance and Low-Power Aspects.New Jersey:John Wiley & Sons,Inc.2003.
    [26]D.M.Chapiro.Globally-Asynchronous Locally-Synchronous Systems.Ph.D.Dissertation,Stanford University,October.1984
    [27]Meincke.T.Hemani,A.Kumar,and S.Ellervee.Globally Asynchronous Locally Synchronous Architecture for Large High Performance ASICs.Proceedings of the IEEE International Symposium on Circuits and Systems(ISCAS).Orlando,USA,June,1999.Vol.2.pp.512-515
    [28]J.Muttersbach,T.Villiger,and V.Fichtner.Practical Design of Globally-Asynchronous Locally-Synchronous Systems.Proceedings of the 6~(th)International Symposium on Advanced Research in Asynchronous Circuits and Systems(ASYNC).Eilat,Israel,April,2000.pp.52-59
    [29]L.Benini and G.D.Micheli.Networks on Chips:A New Soc Paradigm.IEEE Transactions on Computers,Vol.35(1),January,2002.pp.70-78
    [30]W.Dally and B.Towles.Route Packets,Not Wires:On-Chip Interconnection Networks.Proceedings of the 38~(th) Design Automation Conference(DAC).Las Vegas,NV,USA,June,2001.pp.684-689
    [31]A.Hemani.Network on a Chip:An Architecture for Billion Transistor Era.Proceedings of the 18~(th) IEEE Norchip Conference,Turku,Finland,November,2000.pp.166-173
    [32]A.Jantsch and H.Tenhunen Eds.Networks-on-Chip.Norwell,MA:Kluwer,2003.
    [33]Tobias Bjerregaard and Shankar Mahadevan.A Survey of Research and Practices of Network-on-Chip.ACM Computing Surveys,Vol.38(1),June,2006.
    [34]P.Guerrier and A.Greiner.A Genetic Architecture for On-Chip Packet-Switched Interconnections.Proceedings of Design,Automation and Test in Europe (DATE),Paris,France,March,2000.pp.250-256
    [35]M.Millberg,E.Nilsson,R.Thid,S.Kumar,and A.Jantsch.The Nostrum Backbone-A Communication Protocol Stack for Networks on Chip.Proceedings of the 17~(th) VLSI Design Conference.Mumbai,India,January,2004.pp.693-696
    [36]E.Rijpkema,K.Goossens,and P.Wielage.A Router Architecture for Networks on Silicon.Proceedings of Progress 2001,2~(nd) Workshop on Embedded Systems,November,2001.pp.181-188
    [37]M.Dall'Osso,G.Biccari,L.Giovannini,D.Bertozzi,and L.Benini.Xpipes:a Latency Insensitive Parameterized Network-on-Chip Architecture for Multi-Processor SoCs.Proceedings of the 21~(st) International Conference on Computer Design(ICCD).San Jose,CA,USA,October,2003.pp.536-539
    [38]Tobias Bjerregaard,Shankar Mahadevan,Rasmus G.Olsen,and Jens Sparso.An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip.Proceedings of International Symposium on System-on-Chip(SoC).Tampere,Finland,November,2005.pp.171-174
    [39]Marcello Coppola and Carlo Pistritto.迈向多处理器系统级芯片时代的片上网络技术.电子工程专辑,2006.http://www.eetchina.com/ART_8800411253_480101_b1408cc9200603.HTM.
    [40]葛立伟.现有电脑网络正为下一代SoC设计带来新的灵感.电子工程专辑,2005.http://www.eettaiwan.com/ART_8800378899_644847_8111c0a7200510.HTM
    [41]J.Duato,S.Yalamanchili,and L.Ni.Interconnection Networks:An Engineering Approach.Morgan Kaufmann.2003.
    [42]Hangsheng Wang,Li-Shiuan Peh,and Sharad Malik.Power-Driver Design of Router Microarchitectures in On-Chip Networks.Proceedings of the 36~(th)International Symposium on Microarchitecture(Micro-36).San Diego,CA,USA,December,2003.pp.105-116
    [43]H.B.Bakoglu.Circuits,Interconnections and Packaging for VLSI. Addison-Wesley Publishing Company,1990
    [44]Lizheng Zhang,Yuhen Hu,and Charlie Chung-Ping Chen.Wave-Pipelined On-Chip Global Interconnect.Proceedings of the 10~(th) Conference on Asia South Pacific Design Automation(ASP-DAC).Shanghai,China,January,2005.pp.127-132
    [45]Jiang Xu and Wayne Wolf.A Wave-Pipelined On-chip Interconnect Structure for Networks-on-Chips.Proceedings of the Symposium on High Performance Interconnects(Hot Interconnects),Palo Alto,California,USA,August,2003.
    [46]Hansel A Collins and Ronald E Nikel.DDR-SDRAM,High-Speed,Source-Synchronous Interfaces Create Design Challenges.2004.http://www.edn.com/article/CA46146.html?industryid=2815.
    [47]Synopsys.Design Compiler Family Reference Manual,version 3.3a.March 1995.
    [48]W.J.Dally.Express Cubes:Improving the Performance of k-Ary n-Cube Interconnection Networks.IEEE Transactions on Computers,Vol.40(9),September,1991.pp.1016-1023
    [49]S.Loucif,M.Ould-Khaoua,and L.M.Mackenzie.On the Performance Merits of Bypass Channels in Hypermeshes and k-Ary n-Cubes.The Computer Journal.Vol.42(1),1999.pp.62-72
    [50]Umit Y.Ogras and Radu Marculescu."It's a Small World After All":NoC Performance Optimization via Long-Range Link Insertion.IEEE Transaction on VLSI Systems,Vol.14(7),July 2006.pp.693-706
    [51]F.Karim,A.Nguyen,S.Dey,and R.Rao,On-Chip Communication Architecture for OC-768 Network Processors.Proceedings of the 38~(th) Design Automation Conference(DAC).Las Vegas,Nevada,USA,June,2001.pp.678-683
    [52]M.Sgroi.Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design.Proceedings of the 38~(th) Design Automation Conference(DAC).Las Vegas,Nevada,USA,June,2001.pp.667-672
    [53]W.Dally and B.Towles.Route Packets,Not Wires:On-Chip Interconnection Networks.Proceedings of the 38~(th) Design Automation Conference(DAC).Las Vegas,Nevada,USA,June,2001.pp.684-689
    [54]W.J.Dally.Performance Analysis of k-Ary n-Cube Interconnection Networks.IEEE Transaction on Computers,Vol.39(6),1987.pp.775-785
    [55]W.J.Dally.Virtual Channel Flow Control.IEEE Transaction on Parallel and Distributed Systems,Vol.3(2),1992.pp.194-205
    [56]杜毅,李三立.k-ary n-cube网络中高速开关TH-Switch的设计与路由算法.计算机学报,1999,22(1):16-23
    [57]Terry Tao Ye.On-Chip Multiprocessor Communication Network Design and Analysis. Ph.D. Dissertation, Stanford University, 2003.
    [58] C. Neeb, M. Thul, and N. When. Network-on-Chip-Centric Approach To Interleaving in High Throughput Channel Decoders. Proceedings of International Symposium on Circuits and Systems (ISCAS). Kobe, Japan, May, 2005.pp.1766-1769
    [59] A. V. De Mello, L. C. Ost, F. G. Moraes, and N. L. V. Calazans. Evaluation of Routing Algorithms on Mesh Based NoCs. Technical Report, Faculdade De Informatica PUCRS - Brazil, May, 2004.
    [60] F. Moraes, A. Mello, L. Moller, L. Ost, and N. Calazans. A Low Area Overhead Packet-Switched Network on Chip: Architecture and Prototyping. Proceedings of International Conference on Very Large Scale Integration of System-on-Chip (VLSI-SOC). Darmstadt, Germany, December, 2003. pp.318-323
    [61] P. Gupta. Design and Implementing a Fast Crossbar Scheduler. IEEE Transaction on Micro, Vol. 19(1), 1999. pp.20-28
    [62] E. Shin. Round-Robin Arbiter Design and Generation. Proceedings of the 15~(th) IEEE International Symposium on System Synthesis (ISSS). Tokyo, Japan,October, 2002. pp.243-248
    [63] S. Kumar. A Network on Chip Architecture and Design Methodology.Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI).Pittsburgh, PA, USA, April, 2002. pp.105-112
    [64] J. Hu and R. Marculescu. Application-Specific Buffer Space Allocation for Networks-On-Chip Router Design. Proceedings of International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, November, 2004.pp.354-361
    [65] J. Duato and T. M. Pinkston. A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources. IEEE Transactions on Parallel and Distributed Systems, Vol. 12(12), December, 2001. pp.1219-1235
    [66] OCPIP. Open Core Protocol (OCP) Specification, Release 2.0.http://www.ocpip.org, 2003.
    [67] VSI Alliance. Virtual Component Interface Standard, Version 2.http://www.vsi.org, 2000.
    [68] ARM. AMBA Advanced extensible Interface (AXI) Protocol Specification,Version 1.0. http://www.arm.com, 2004.
    [69] Philips Semiconductors. Device Transaction Level (DTL) Protocol Specification,Version 2.2. http://www.philips.com, 2002.
    [70] L. Ost, A. Mello, J. Palma, F. Moraes, and N. Calazans. MAIA - A Framework for Networks on Chip Generation and Verification. Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC). Shanghai, China,January 2005. pp.49-52_______________________________________________
    [71] A. Andriahantenaina, H. Charlery, A. Greiner, L. Mortiez, and C. Zeferino. SPIN:a Scalable, Packet Switched, On-chip Micro-network. Proceedings of Design,Automation and Test in Europe Conference and Exposition (DATE), Munich,Germany, March, 2003. pp.70-73
    
    [72] J. Liang, S. Swaminathan, and R. Tessier. aSOC: A Scalable, Single-Chip communications Architecture. Proceedings of IEEE International Conference on Parallel Architectures and Compilation Techniques (PACT). Philadelphia,Pennsylvania, USA, October, 2001. pp.37-46
    
    [73] Jian Liang, Andrew Laffely, Sriram Srinivasan, and Russell Tessier. An Architecture and Compiler for Scalable On-Chip Communication. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12(7), 2004.pp.711-726
    
    [74] T. Marescaux, A. Bartic, D. Verkest, S. Vernalde, and R. Lauwereins.Interconnection Networks Enable Fine-Grain Dynamic Multi-Tasking on FPGAs.Proceedings of Field-Programmable Logic and Applications (FPL). Montpellier,France, September, 2002. pp.795-805
    
    [75] D. Siguenza-Tortosa and J. Nurmi. Proteo: A New Approach to Network-on-Chip. Proceedings of IASTED International Conference on Communication Systems and Networks (CSN). Malaga, Spain, September, 2002.
    
    [76] C. Zeferino and A. Susin. SoCIN: A Parametric and Scalable Network-on-Chip.Proceedings of the 16th Symposium on Integrated Circuits and Systems Design (SBCCI). Sao Paulo, Brazil, September, 2003. pp.169-174
    
    [77] D. Wiklund and D. Liu. SoCBUS: Switched Network on Chip for Hard Real Time Systems. Proceedings of 17~(th) International Parallel and Distributed Processing Symposium (IPDPS). Nice, France, April, 2003. pp.78
    
    [78] M. B. Taylor. Design Decisions in the Implementation of a Raw Architecture Workstation. MS Thesis, Cambridge, MA, September, 1999.
    
    [79] E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. QNoC: Qos Architecture and Design Process for Network on Chip. The Journal of Systems Architecture,Special Issue on Networks on Chip. Vol. 50(2-3), 2004. pp.105-128
    
    [80] P. Pande, C. Grecu, A. Ivanov, and R. Saleh. Design of a Switch for Network on Chip Applications. Proceedings of International Symposium on Circuits and Systems (ISCAS). Bangkok, Thailand, May, 2003. pp.217-220
    
    [81] C. Grecu, P. Pande, A. Ivanov, and R. Saleh. A Scalable Communication-Centric SoC Interconnect Architecture. Proceedings of the 5~(th) IEEE International Symposium on Quality Electronic Design (ISQED). San Jose, CA, USA, March,2004. pp.343-348
    
    [82] Paul Gratz, Changkyu Kim, Robert McDonald, Stephen W. Keckler and Doug Burger. Implementation and Evaluation of On-Chip Network Architectures. Proceedings of the 24~(th) Internationa] Conference on Computer Design (ICCD).San Jose, California, USA, October, 2006.
    [83] T. Bjerregaard and J. Sparso. A Router Architecture for Connection Oriented Service Guarantees in the MANGO Clockless Network-on-Chip. Proceedings of Design, Automation and Testing in Europe Conference (DATE). Munich,Germany, March, 2005. pp. 1226-1231
    [84] Kangmin Lee, Se-Joong Lee, and Hoi-Jun Yoo. Low-Power Network-on-Chip for High-Performance SoC Design. IEEE Transaction on VLSI Systems, Vol.14(2), February, 2006. pp.148-160
    [85] Yehea I. Ismail and Eby G. Friedman. Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits. Proceedings of the 36~(th) Design Automation Conference (DAC). New Orleans, LA, USA, June, 1999. pp.721-724
    [86] K. Banerjee and A. Mehrotra. A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs. IEEE Transactions on Electron Devices, Vol. 49(11), 2002. pp.2001-2007
    [87] Ruiming Li, Dian Zhou, and Jin Liu. Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing. Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD). San Jose, California, USA,November, 2003. pp.581-587
    [88] H. Shah, P. Shin, and B. Bell. Repeater Insertion and Wire Sizing Optimization for Throughput-Centric VLSI Global Interconnects. Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD). San Jose,California, USA, November, 2002. pp.280-284
    [89] Vinita V. Deodhar and Jeffrey A. Davis. Optimization of Throughput Performance for Low-Power VLSI Interconnects. IEEE Transactions on VLSI Systems, Vol. 13(3), March, 2005. pp.308-318
    [90] A. Nalamalpu, S. Srinivasan, and W. Burlesson. Boosters for Driving Long On-Chip Interconnects—Design Issues, Interconnect Synthesis, and Comparison with Repeaters. IEEE Transactions on Computer-Aided Design of Circuits and Systems, Vol. 21(1), 2002. pp.50-62
    [91] Himanshu Kaul and Dennis Sylvester. Low-Power On-Chip Communication Based on Transition-Aware Global Signaling (TAGS). IEEE Transactions on VLSI Systems, Vol. 12(5), May, 2004. pp.464-476
    [92] Christer Svensson. Optimum Voltage Swing On On-Chip And Off-Chip Interconnects. Proceedings of the 26~(th) European Solid-State Circuits Conference (ESSCIRC). Stockholm, Sweden, September, 2000. pp.85-88
    [93] H. Zhang, V. George, and J. M. Rabaey. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 8 (3), 2000. pp.264-272
    
    [94] Marcos Ferretti and Peter A. Beerel. Low Swing Signaling Using a Dynamic Diode-Connected Driver. Proceedings of the 27~(th) European Solid-State Circuits Conference (ESSCIRC). Villach, Austria, September, 2001. pp.369-372
    
    [95] Y. Massoud, J. Kawa, and D. MacMillen. Modeling and Analysis of Differential Signaling for Minimizing Inductive Cross-Talk. Proceedings of the 38~(th) Design Automation Conference (DAC). Las Vegas, Nevada, USA, June, 2001.pp.804-809
    
    [96] I. Hatirnaz and Y. Leblebici. Twisted Differential On-Chip Interconnect Architecture for Inductive/Capacitive Crosstalk Noise Cancellation. Proceedings of International Symposium on System-on-Chip (SoC). Tampere, Finland,November, 2003.
    
    [97] B. Dally. A Single-Chip Terabit Switch. Proceedings of Hot Chips 13. Palo Alto,California, USA, August, 2001.
    
    [98] R. Ho. On-Chip Wires: Scaling and Efficiency. Ph.D. Dissertation. Stanford University, 2003.
    
    [99] A. Maheswari and W. Burleson. Current Sensing Techniques for Global Interconnects in Very Deep Submicron (VDSM) CMOS. Proceedings of IEEE Computer Society Workshop on VLSI. Orlando, FL, 2001. pp.66-70
    
    [100] A. Narasimhan, M. Kasotiya, and R. Sridhar. A Low-Swing Differential Signaling Scheme for On-Chip Global Interconnects. Proceedings of the 18~(th) International Conference on VLSI Design jointly with 4~(th) International Conference on Embedded Systems Design (VLSID'05), Kolkata, India, January,2005. pp.634-639
    
    [101] A. Maheshwari and W. Burleson. Repeater and Current-sensing Hybrid Circuits for On-chip Interconnects. Proceedings of the 2003 Great Lakes Symposium on VLSI (GLSVLSI). Washington DC, USA, April, 2003. pp.269-272
    
    [102] K. Nabors and J. White. FastCap: A Multipole-Accelerated 3-D Capacitance Extraction Program. IEEE Transaction on Computer-Aided Design, Vol. 10(10),1991.pp.1447-1459
    
    [103] M. Kamon, M. Tsuk, and J. White. FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program. IEEE Transactions on Microwave Theory and Techniques (MTT). Vol. 42(9), 1994. pp.1750-1758
    
    [104] J. Cong, Z. D. Pan, et al. Interconnect Delay Estimation Models for Synthesis and Design Planning. Proceedings of the 4~(th) Conference on Asia South Pacific Design Automation (ASP-DAC). Hong Kong, China, 1999. pp.97-100
    
    [105] J. Cong, Z. D. Pan, et al. Interconnect Performance Estimation Models for Design Planning. IEEE Transaction on CAD of Integrated Circuits and Systems,Vol. 20(6), 2001. pp.739-752__________________________________________
    [106] K. Lahiri, A. Raghunathan, and S. Dey. Efficient Exploration of the SoC Communication Architecture Design Space. Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD). San Jose,California, USA, November, 2000. pp.424-430
    [107] P. Gupta, L. Zhong, and N. K. Jha. A High-Level Interconnect Power Model for Design Space Exploration. Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD). San Jose, California, USA, November,2003.pp.551-558
    [108] R. Zafalon, M. Rossello, and E. Macii. Power Macromodeling for a High Quality RT-Level Power Estimation. Proceedings of International Symposium on Quality Electronic Design (ISQED). San Jose, California, USA, March, 2000. pp.59-63
    [109] D. Bertozzi, L. Benini, and B. Ricco. Parametric Timing and Power Macromodels for High Level Simulation of Low-Swing Interconnects.Proceedings of the 2002 International Symposium on Low Power Electronics and Design (ISLPED). Monterey, California, USA, August, 2002. pp.308-312
    [110] C. J. Alpert, et. al. Interconnect Synthesis Without Wire Tapering. IEEE Transactions on Computers, Vol. 20(1), January 2001. pp.90-104
    [111] W. C. Elmore. The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers. Journal of Applied Physics 19,January 1948,pp.55-63
    [112] A. B. Kahng and S. Muddu. Accurate Analytical Delay Models for VLSI Interconnects. Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS). May 1996.
    [113] A. B. Kahng, K. Masuko, and S. Muddu. Analytical Delay Models for VLSI Interconnects Under Ramp Input. Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD). San Jose, California, USA,November, 1996. pp.30-36
    [114]尹国丽,林争辉.一种新的RLC互连解析延时模型的建立.上海交通大学学报, 2003, 37(10): 1570-1573
    [115] L. T. Pillage and R. A. Rohrer. Asymptotic Waveform Evaluation for Timing Analysis. IEEE Transactions on CAD of Integrated Circuits and Systems, Vol.9(4), April, 1990. pp.352-366
    [116] M. Sriram and S. M. Kang. Fast Approximation of the Transient Response of Lossy Transmission Line Trees. Proceedings of the 30~(th) ACM/IEEE Design Automation Conference (DAC), Dallas, Texas, USA, June, 1993. pp.691-696
    [117] C. L. Ratzlaff, N. Gopal, and L. T. Pillage. RICE: Rapid Interconnect Circuit Evaluator. Proceedings of the 28~(th) ACM/IEEE Design Automation Conference (DAC), San Francisco, California, USA, June 1991. pp.555-560
    [118]Mandeep Bamal,Evelyn Grossar,Michele Stucchi and Karen Maex.Interconnect Width Selection for Deep Submicron Designs using the Table Lookup Method.Proceedings of the 6~(th) International Workshop on System-Level Interconnect Prediction(SLIP).Paris,France,February,2004.pp.41-44
    [119]P.R.O'Brien and T.L.Savarino.Modeling the Driving Point Characteristic of Resistive Interconnect for Accurate Delay Estimation.Proceedings of IEEE/ACM International Conference on Computer-Aided Design(ICCAD).Santa Clara,CA,November,1989.pp.512-515
    [120]T.Sakurai.Approximation of Wiring Delay in MOSFET LSI.IEEE Journal of Solid-State Circuits,Vol.18(4),August,1983.pp.418-426
    [121]Man Lung Mui,Kaustav Banerjee,and Amit Mehrotra.A Global Interconnect Optimization Scheme for Nanometer Scale VLSI with Implications for Latency,Bandwidth,and Power Dissipation.IEEE Transaction on Electron Devices,Vol.51(2),Feb,2004.pp.195-203
    [122]N.Hedenstierna and K.O.Jeppson.CMOS Circuit Speed and Buffer Optimization.IEEE Transaction on Computer-Aided Design.Vol.6(2),March,1986.pp.270-281
    [123]宋任儒,阮刚,肖夏.深亚微米VLSI电路中互连线的几何优化设计.固体电子学研究与进展,2000,20(1):84-93
    [124]S.Hauck.Asynchronous Design Methodologies:An Overview.Proceedings of the IEEE.Vol.83(1),January,1995.pp.69-93
    [125]K.Y.Yun and R.P.Donohue.Pausible Clocking:A First Step toward Heterogeneous Systems.Proceedings of International Conference on Computer Design(ICCD).Austin,Texas,USA,October,1996.pp.118-123
    [126]R.Dobkin,R.Ginosaur,and C.P.Sotiriou.Data Synchronization Issues in GALS SoCs.Proceedings of the 10~(th) IEEE International Symposium on Asynchronous Circuits and Systems(ASYNC).Grete,Greece,April,2004.pp.170-179
    [127]D.S.Bormann and P.Y.Cheung.Asynchronous Wrapper for Heterogeneous Systems.Proceedings of International Conference on Computer Design(ICCD).Austin,Texas,USA,October,1997.pp.307-314
    [128]Clifford E Cummings.Synthesis and Scripting Techniques for Designing Multi-Asynchronous Clock Designs.Proceedings of Synopsys User Group Conference (SNUG).Boston,USA,2001.
    [129]Clifford E Cummings and Peter Alfke.Simulation and Synthesis Techniques for Asynchronous FIFO Design with Asynchronous Pointer Comparisons.Proceedings of Synopsys User Group Conference(SNUG).San Jose,USA,2002.
    [130]汪东,马剑武,陈书明.基于Gray码的异步FIFO接口技术及其应用.计算 2005, 27(1): 58-60
    [131] Edward Paluch. Synthesis Optimized Universal Synchronous/Asynchronous Generic FIFO Design. Proceedings of Synopsys User Group Conference (SNUG).San Jose, USA, 2003.
    [132] Tiberiu Chelcea and Steven M. Nowick. Robust Interfaces for Mixed-Timing Systems with Application to Latency-Insensitive Protocols. Proceedings of the 38~(th) Design Automation Conference (DAC). Las Vegas, Nevada, USA, June,2001. pp.21-26
    
    [133] U. Y. Ogras and R. Marculescu, Energy- and Performance-Driven Customized Architecture Synthesis Using a Decomposition Approach. Proceedings of Design,Automation and Test in Europe Conference and Exposition (DATE). Munich,Germany, March, 2005. pp.352-357
    [134] Clifford E Cummings, Don Mills, and Steve Golson. Asynchronous &Synchronous Reset Design Techniques. Proceedings of Synopsys User Group Conference (SNUG). San Jose, USA, 2003.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700