集成电路缺陷分布模型和容错技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
本文对集成电路制造过程中的缺陷空间分布模型和IC的容错结构及其成品率
    做了系统地理论研究。主要研究结果如下:
     根据缺陷在圆片上的位置首先给出了每个缺陷的模糊度,然后利用圆片上的
    缺陷与缺陷之间、缺陷与缺陷团之间以及缺陷团与缺陷团之间的相关性定义了缺
    陷团与团之间的相关系数,首次提出了适合于划分缺陷团的变步长模糊聚类算法
    (CSFCM)。其次对采集到的一批有缺陷的圆片样本利用变步长的模糊聚类算法进
    行缺陷团划分处理。最后对划分后的样本进行统计检验,得到了缺陷团在圆片上
    服从参数为λ的Poisson分布、团内缺陷数服从参数为α的Reyleigh分布等规律。
     根据前面得到的缺陷团在圆片上的分布以及团内缺陷数的分布等规律给出了
    缺陷空间分布新模型。在XD—YES模拟器中,分别采用负二项式分布模型和新
    模型作为空间分布模型对给定的IC版图进行模拟。模拟结果表明,在芯片面积比
    较小时,两个模型模拟结果之间差别很小,并且与实测结果也很接近;当芯片面
    积比较大时,两个模型模拟结果之间差别较大,新模型的模拟结果更接近于实测
    结果。
     本文根据用冗余行和冗余列修复缺陷阵列对应的二分图的特点,提出了一种
    Hopfield网络算法,有效地解决了冗余行和冗余列的最小分配问题。通过大量的实
    例验证,用该算法求解冗余行和冗余列的最小分配问题是十分有效的。
     本文根据修复缺陷阵列时冗余行数和冗余列数的变化情况,利用Markov链精
    确地分析了有冗余的存储器阵列的成品率。分析过程中考虑了缺陷的空间分布模
    型对存储器芯片成品率的影响。实例分析表明,用Markov链方法分析有冗余的存
    储器阵列的成品率比用传统的成品率分析方法精度高。
     随着冗余行和冗余列加入到存储器阵列,芯片面积在增加,一个圆片上的有
    效芯片数目在减少。本文综合考虑了当两级冗余加入芯片时,芯片面积的变化对
    成品率的影响情况。在冗余行(列)数目和冗余模块数目给定的条件下,给出了把给
    定容量的多兆位存储器最优地划分成若干模块的准则。对应这种划分可使存储器
    芯片的成品率得到最优地改善。
     容错技术主要集中在给系统中加入一定量的冗余单元来提高系统的成品
    率。然而,由于不同类型的子电路所占的芯片面积不同,当给系统中加入一定量
    的冗余单元时并没有使系统的成品率得到最优的改善。本文首次将整体优化的思
    想应用于集成电路的容错设计中,利用最优化的思想,提出了在芯片面积增量一
    
     集成电路缺陷分布模型和容错技术研究
    定的条件下使系统成品率改善最大的最优化模型。利用遗传算法求解了该优化模
    型。
     实验结果表明,在芯片面积增量一定的条件f,为使芯片成品率达到最优地
    改善,需要加入的冗余子电路数随着芯片上的缺陷密度的增加而增加:随电路中
    支撑电路面积的增加而减少,其原因是支撑电路没有容错能力,一旦有缺陷落入
    支撑电路,整个电路就会失效。
     研究结果表明:本文提出的容错技术对于提高电路的动态和静态成品率和可
    靠性是十分有效的。
Abstract
    This dissertation airns at discussing the model of defect spatial distribution, IC's
    fault-tolerant structures and yield. A large numbers of simulation examples show the
    rnodels and the methods presented in this dissertation are very effective by using
    XD-YES simulator (XiDian university held EStimator).
    The autl1or's main contributions are as fOllowing f
    First, the fozzy degree of each defect is defined according to the position of the
    detbct o11 tIle wafbr and the correlative coefficient between a detbct cluster and a defect
    cluster is defined An effective change-step fuzzy cluster algorithm (CSFCM), which is
    suitable to partitioning the defects into clusters, is firstly presented in this dissertation
    '1-lle (listributioIl of tl1e 11ull1ber of clusters oIl tlle 1vafer, x\/hicl1 obeys Poisso11's
    distribution with;. as a parameter, and the distribution of the number of defects in a
    clLlster, 1vIlicI1 obeys Releigll's distributiOIl with a as a paraIl1eter, are obtained by
    statistic and testing the data.
    The new defect spatial distribution is presented in this dissertation based on the
    distribution of the number of clusters on the wafer and the number of defects in a cluster
    The negative binomial distribution and the new defect spatial distribution are
    respectively used as the defect spatial distribution in the XD-YES simulator when the
    given layouts are simu1ated with XD-YES simulator, The simulation results show that
    the difference between results obtained by the negative binomial distribution and the
    new model is small fOr a small area chip, and that the simulation results consistent well
    with testing results, the difference between the results obtained by the negative binomial
    distribution and the new tnodel is large fOr a large-area chip, the simulation result using
    the new model is more close to the testing results.
    A Hopfield network algorithm to solve the allocation of spare rows and columns in
    a memory array is presented in this dissertation according to the property of the defect
    array fixed by spare elements. A large numbers of validated examples indicate that the
    Hopfield network algorithm is very effective to solve the allocation of spare rows and
    columns in a memory array.
    The Markov chain is used to analyze the yield of the memory array with spare
    rows and columns according to the change of the number of spare elements. The effect
    
    
    of the defect spatial distribution to the yield of the memory array is taken into account during analysis yield. A comparison of the yield method of Markov抯 chain and the traditional yield methods shows that the Markov抯 chain method has higher precision than traditional method by analyzing a number of examples.
     As redundancy is added the yield of the memory goes up, but the area of each chip also increases. This in turn reduces the number of chips that can be obtained from a wafer. The influence of chip area variation on yield is synthetically considered in this dissertation when the two-level hierarchical redundancy added into the chips. The rule, which optimally partitions the given multimegabit RAM抯 into modules to make the RAM抯 yield be optimal, is given in this paper.
     Finally, an optimal allocation model of the sub-processing-element (sub-PE) level redundancy is developed by genetic algorithm. The average defect density D and the support circuit parameterS are considered in the allocation model to accurately analyze the element yield. Under the condition of the given area constraint, simulation results indicate that the number of the optimal redundant sub-circuit added into a PE and the PE抯 yield decrease for any given average defect density D as S increases; the number of the optimal redundant sub-circuit increases, while the optimal yield of the PE decreases for any given support circuit area parameter S as D increases.
引文
第一章
    [1.1] 郝跃,集成电路制造动力学理论与研究,河北教育出版社,1995年11月第一版.
    [1.2] W. Maly, Computer-Aided Design for VLSI Circuit Manufacturability, Proceeding of the IEEE, 1990,78(2):356~392.
    [1.3] W. Maly, A. J. Strojwas, S. W. Director, Fabrication based Statistical Design of Monolithic ICs, In Proceedings of the IEEE International Symposium on Circuits and Systems, 1981, pp. 135~138.
    [1.4] H. Walker, Yield Simulation for Integrated Circuit, Kluwer Academic Publishers, USA., 1987.
    [1.5] H. L. Abdel Malek, The Ellipsoidal Technique for Design Centering and Region Approximation, IEEE Trans. CAD/IC, 1991, 11(8): 1006~1014.
    [1.6] W. Maly, VLSI Yield Prediction and Estimation: A United Framework. IEEE Trans. CAD/IC, 1986, 5(1): 114~130.
    [1.7] 郝跃,序列二次逼近下的集成电路统计最优化方法,电子学报,1994,22(2):147~153.
    [1.8] K. Singhal, Statistical Design Centering and Tolerance Using Parameter Sampling, IEEE Trans. CAS., 1981, 28(7):692~702.
    [1.9] R.S. Soin, R. Spence, Statistical Exploration Approach to Design Centering, IEE Proc., 1980, 127(6): 260~269.
    [1.10] 万会兵,IC优化设计技术研究及在产品研制中的应用,西安电子科技大学毕业设计论文,1992年.
    [1.11] M. A. Styblinski, Stochastic Approximation Approach to Statistical Circuit Design, Electronics Letters, 1983,19(8): 300~302.
    [1.12] 郝跃,器件模型参数优化提取的混合算法,半导体学报,1989,10(3):168~172.
    [1.13] 郝跃,集成器件参数优化中的伴随网格方法,西安电子科技大学学报,1988,15(2):38~42.
    [1.14] 郝跃,集成双极型晶体管模型参数的总体提取,电子学报,1988,16(1):68~71.
    [1.15] K. Garwaski, Extraction of BJT Model Parameters Using Optimization Method, IEEE Trans. CAD/IC., 1988, 7(8): 850~861.
    
    
    [1.16]郝跃,MOSFET模型参数优化的全域提取,西安电子科技大学学报,1988,15(4):48~53.
    [1.17]郝跃,集成双极型晶体管模型参数的总体优化提取——非线性函数与梯度求解,西安电子科技大学学报,1986,16(4):35~40.
    [1.18] 郝跃,一种芯片阶段获取集成双极晶体管交流参数的方法,电子学报,1989,17(6):105~107.
    [1.19] 郝跃,DCTT统计最优化的进一步策略和方法,电子学报,1993,21(2):40~47.
    [1.20] 郝跃,DCTT统计最优化模型及性质,西安电子科技大学学报,1993,25(1):25~31.
    [1.21] J. B. Blankenship, J. E. Falk, Infinitely Constrained Optimization Problems, J. Of Optimization Theory and Applications, 1976,16(2): 262~281.
    [1.22] E. Polak, Y. Y. Wardi, A Study of Minimizing Sequences, SIAM J. Control and Optimization, 1984, 22(4): 559~609.
    [1.23] P. Wolfe, Finding the Nearest Point in a Polytope, Math. Programming, 1976, 11(2): 128~149.
    [1.24] B.F. Mitchell, Finding the Point of a Polyhedron Closest to the Origin, SIAM J. Control, 1975, 12(6): 791~795.
    [1.25] A. J. Strojwas, Design for Manufacturing and Yield, 1989 26th ACM/IEEE Design Automation Conf., USA, 454~459,1989.
    [1.26] C. H. Stapper, Yield Model for Fault Clusters Within Integrated Circuits, IBM J. Research and Developrnent, 1984, 28(5): 636~639.
    [1.27] A. Gupta, Yield Analysis of Large Integrated Circuit Chips, IEEE J. SSC., 1972, 7(5): 389~395.
    [1.28] C. H. Stapper, The Effects of Wafer to Wafer Defect Density Variation on Integrated Circuit Defect and Fault Distribution, IBM J. Research and Development, 1985, 29(1): 87~97.
    [1.29] A. Ferris-Prabhu, Radial Yield Variation in Semiconductor Wafers, IEEE Circuits and Devices Magazine, 1987, 3(2): 42~47.
    [1.30] A. Gupta, Defect Analysis and Yiled Degradation of Integrated Circuits, IEEE J. SSC., 1974, 9(3): 96~103.
    [1.31] I. Chen, A. J. Strojwas, Realistic Yield Simulation for VLSIC Structural Failures, IEEE Trans. CAD/IC, 1987, 6(6): 965~980.
    
    
    [1. 32] C. Hess, L. H. Weiland, Extraction of Wafer-Level Defect Density Distribution to Improve Yield Prediction, IEEE Trans. On Semiconductor Manufacturing, 1999, 12(2) : 175-183.
    [1. 33] K. Antreich, Design Centering by Yield Prediction, IEEE Trans. CAS., 1982, 29(2) : 88-95.
    [1. 34] J. Rankin, S. R. Soin, Efficient Monte Carlo Yield Prediction Using Control Variations, Proc. IEEE Int. Symp. CAS., 1981, pp. 143-148.
    [1. 35] C. Mead, L. Conway, Introduction to VLSI System, Addison-Wesley Publishing Comp., 1988.
    [1. 36] C. H. Stapper, Evolution and Accomplishments of VLSI Yield Management at IBM, IBM J. Res. Development, 1982, 26(5) : 532-545.
    [1. 37] Z. Stamenkovic, S. Dimitrijew, and N. Stojadinovic, Integrated Circuit Production Yield Assurance Based on Yield Analysis, Microelectronics Journal, 1993, 24(4) : 819-822.
    [1. 38] S. Dimitrijew, N. Stojadinovic, and Z. Stamenkovic, Yield Model for In-Line Integrated Circuit Production Control, Solid-State Electronics, 1988, 31(5) : 975-979.
    [1. 39] M. Jacomet, W. Guggenuhl, Layout Dependent Fault Analysis and Test Synthesis for CMOS Circuits, IEEE Trans. CAD/IC, 1993, 10(3) :888~899.
    [1. 40] A. R. Dalai, P. D. Franzov, A Layout-Driven Yield prediction and Fault Generator for VLSI, IEEE Trans. Semiconductor Manufacturing, 1993, 6(1) : 77-82.
    [1. 41] R. K. Brayton, R. Spence, A Survey of Optimization Techniques for Integrated-Circuit Design, Proceeding of IEEE, 1981, 69(19) : 1334-1362.
    [1. 42] R. K. Brayton, R. Spence, Sensitivity and Optimization, CAD of Electronic Circuits, Elservier Scientific Pub. Comp., 1980.
    [1. 43] J. Khare, and W. Maly, Accurate Estimation of Defect-Related Yield Loss in Reconfigurable VLSI Circuits, IEEE J. Solid-State Circuit, 1993, 28(2) : 146-157.
    [1. 44] T. L. Mchalka, R. C. Varshney,and J. D. Meindl, A Discussion of Modeling with Defecting, Circuit Repair, and Circuit Redundancy, IEEE Trans. Semiconductor Manufacturing, 1990, 3(1) :116~127.
    [1. 45] J. Raffel, A. Anderson, A Wafer-Scale Digital Integrated Circuit Using
    
    Restructurable VLSI, IEEE J. Solid-State Circuit, 1985, 25(1): 399~406.
    [2.1] R. Ashecaska, G. Filaretor, Prediction of the Yield of Suitable MOS Structures with Respct to the Subgate Dielectric, IEEE Journal of Solid-State Circuits, 1980, 15(4): 687~693.
    [2.2] K. Satio, and E. Arai, Experimental Analysis and New Modeling of MOS LSI Yield Aassociated with the Number of Elemems, IEEE Journal of Solid-State Circuits, 1982, 17(1): 28~33.
    [2.3] L. Jastrzebski, Origin and Control of Material Defects in Silicon in VLSI Technologies: An Overview, IEEE Journal of Solid-State Circuits, 1982, 17(2): 105~107.
    [2.4] C.H. Stapper, P. Castrussi, R. Maeder, W. Rowe,and R. Verhelst, Evolution and Acomplishments of VLSI Yield Management at IBM, IBMJ. RES. DEVELOP., 1982, 2695): 532~545.
    [2.5] I. Koren, Z. Koren, Defect Tolerance in VLSI Circuits: Techniques and Yield Analysis, Proceedings of the IEEE, 1998, 86(9):1819~1836.
    [2.6] T. Mangir, Sources of Failures and Yield Improvement for VLSI and Restructurable Interconnects for VLSI and WSI: Part Ⅰ-Sources of Failures and Yield Improvement for VLSI, Proceedings of the IEEE, 1984, 72(6): 690~708.
    [2.7] W. Maly, Modeling of Lithography Related Yield Losses for CAD of VLSI Circuits, IEEE Trans. CAD/IC, 1985, 4(3): 166-177.
    [2.8] 姜晓鸿,郝跃,徐国华,IC缺陷轮廓的分形插值模型,电子科学学刊(待发表)
    [2.9] F .J .Ferguson and J .P .Shen, Extraction and Simulation of Realistic CMOS Faults Using Inductive Fault Analysis, Presented at the Internat. Test Conf.,1988.
    [2.10] A..V. Ferris Prabhu, Modeling the critical area in yield forecasts, IEEE J .SolidState Circ., 1985, SC20(4): 874~878.
    [2.11] W. Lukaszek, W .Yarbrough, T. Walker,and J. Meindl, CMOS test chip design for process problem debugging and yield prediction experiments, Solid State Technol., 1986,29(3): 87~93
    
    
    [2.12] G. Spiegel and A .P .Stroele, Optimization of deterministic test sets using an estimation of product quality, presented at the Asian Test Symp., Bejing, China. Nov. 1993.
    [2.13] W, Maly, Computer-Aided Design for VLSI Circuit Manufacturability, Proceedings of the IEEE, 1990, 78(2): 356~392.
    [2.14] A. V. Ferris-Prabhu, Defect Size Variations and Their Effect on the Critical Area of VLSI Devices, IEEE J.Solid-State Circ., 1985, 20(4): 874~8778.
    [2.15] J. P. De Gyvez and D. Chennian, IC Defect Sensitivity for Footprint Type Spot Defects, IEEE Trans. Computer-Aided Design, 1992, 11(5): 638~658.
    [2.16] Christopher Hess and Albrecht Strole, Modeling of Real Defect Outlines for Defect Size Distribution and Yield Prediction, Proc .IEEE Int .Conference on Microelectronics Test Structures, 1993, 6(3): 85-91.
    [2.17] 姜晓鸿,郝跃,徐国华,IC制造中的真实缺陷轮廓表征方法研究,电子学报,1998,26(2):11-14.
    [2.18] Xiaohong Jiang, Yue Hao, G. Xu, Equivalent Circular Defect Model of Real Defect Outlines in the IC Manufacturing Process, IEEE Trans. On Semiconductor Manufacturing. 1998,11(3):432-441.
    [2.19] Xiaohong Jiang, Yue Hao and Guohua Xu, A New Model of IC Defect Outlines for IC Fault Estimation and Yield Prediction. Submitted to the 1998 First International Conference on Intergrated Circuit Yield.
    [2.20] 姜晓鸿,赵天绪,郝跃,徐国华,一种IC缺陷轮廓建模的新方法,电子学报,1999,27(5):46~48.
    [2.21] 姜晓鸿,郝跃,徐国华,IC制造中的真实缺陷轮廓的分形特征,半导体学报,1998,19(2):206~212.
    [2.22] 姜晓鸿,赵天绪,郝跃,徐国华,IC缺陷轮廓的分形插值模拟,电子科学学刊(待发表).
    [2.23] R. Seeds, Yield and Cost Analysis of Bipolar LSI, IEDM, 1967.
    [2.24] G. Moore, Switching Circuits for Yield Enhancement of an Array Chip, Electronics Letters, 1984, 18(6): 667~669.
    [2.25] J. Price, A New Look at Yield of Integrated Circuits, Proceeding of the IEEE, 1970, 58(8): 1209~1291.
    [2.26] C. H. Stapper, Defect Density Distribution for LSI Yield Calculations, IEEE Transactions on Electron Device, 1973, 20(7): 655~657.
    
    
    [2.27] C. H. Stapper, Larger-Area Fault Clusters and Fault Tolerance in VLSI Circuits: A Review, IBM J. Res. Develop., 1989, 33(2): 162~173.
    [3.1] C. H. Stapper, The effects of Wafer to Wafer Defect Density Variations on Integrated Circuit Defect and Fault Distributions, IBM J. RES. DEVELOP., 1985, 29(1):87~97.
    [3.2] C. H. Stapper, On Yield, Fault Distributions, and Clustering of Particles, IBM J. RES. DEVELOP., 1986, 30(3):326~328.
    [3.3] C. H. Stapper, Correlation Analysis of Particle Clusters on Integrated Circuit Wafers, IBM J. RES. DEVELOP., 1987, 31(6):641~649.
    [3.4] C. H. Stapper, Defect Density Distribution for LSI Yield Calculations, IEEE Trans. ED., 1973, 20(7): 655~657.
    [3.5] J. Price, A New Look at Yield Integrated Circuits, Proceedings of the IEEE, 1970, 58(8): 1209~1291.
    [3.6] C. H. Stapper, F. M. Armstrong, and K. Saji, Integrated Circuits Yield Statistics, Proceeding of IEEE, 1983,71(4): 453~470.
    [3.7] G. Moore, What Lever of LSI Is Best for You?, Electronics, 1970, 43(4): 126~130.
    [3.8] C. Hess and L. H. Weiland, Extraction of Wafer-Level Defect Density Distribution to Improve Yield Prediction, IEEE Trans. On Semiconductor Manufacturing 1999, 12(2): 175~183.
    [3.9] 汪培庄,李洪兴,模糊系统理论与模糊计算机,科学出版社,北京:1989.
    [3.10] J. C. Bezdek, Pattern Recognition with Fuzzy Objective Function Algorithms, New York: Plenum, 1981.
    [3.11] 郝跃,集成电路制造动力学理论与方法,河北教育出版社,1995.
    [3.12] 中山大学数学力学系编,概率论及数理统计,高等教育出版社,1985.
    [3.13] B. W. Silverman, Density Estimation for Statistics and Data Analysis, London: Chapman and Hall, 1985.
    
    
    [4. 1] J. Wallmark, Design Consideration for Integrated Eletronic Devices, Porc. IRE. , 1960, 48(3) : 293-300.
    [4. 2] S. Hostein, and F. Heiman, The Silicon Insulated-Gate Field-Effect Transistor, Proceeding of the IEEE, 1963, 51(9) : 1190-1202.
    [4. 3] C. H. Stapper, On Yield, Fault Distributions and Clusterig of Particles, IBM J. Res. Develop., 1986, 30(3) : 326-328.
    [4. 4] B. Murphy, Cost-Size Optima of Monolithic Integrated Circuits, Proceeding of the IEEE, 1964,50(12) : 1525-11527.
    [4. 5] R. Seeds, Yield and Cost Analysis of Bipolar LSI, IEDM., 1967.
    [4. 6] J. Price, A New Looh at Yield of Integrated Circuits, Proceeding of the IEEE, 1970,58(8) : 1209-1291.
    [4. 7] G. Moore, Switching Circuits for Yield Enhancement of an Array Chip, Electronics Letters, 1984, 18(6) : 667-669.
    [4. 8] C. H. Stapper , Defect Density Distribution for LSI Yield Calculations, IEEE Transactions on Electron Devices, 1973, 20(7) ; 655-657.
    [4. 9] I. Chen and A. J. Strojwas, Realistic Yield summation for VLSIC Structural Failures, IEEE Transactions on Computer-Aided Design, 1987, 6(5) : 965-980.
    [4. 10] C. H. Stapper, Yield Model for Fault Clusters Within Integrated Circuits, IBM J. Res. Develop., 1984, 28(5) : 636-639.
    [4. 11] C. H. Stapper, The Effects of Wafer to Wafer Defec Density Variations on Integrated Circuit Defect and Fault Distribution, IBM J. Res. Develop., 1985, 29(1) : 87-97.
    [4. 12] A. V. Ferris-Prabhu and M. A. Retersdorf, The Effect on Yield of Clustering and Radial Variations in Defect Density, in Proceedings 1989 Workshop Defect Fault Tolerance VLSI System, Oct., 1989.
    [4. 13] I. Koren, Z. Koren, Defect Tolerance in VLSI Circuits: Techniques and Yiel Analysis, Proceedings of the IEEE, 1998, 86(9) : 1819-1836.
    [4. 14] R. T. Smith, Laser Programmble Redundancy and Yield Improvement in 64K DRAM, IEEE J. Solid-State Circuits, 1981,16(5) : 506-513.
    [4. 15] T. Mano, M. Wada, and N. Ieda et al., A Redundancy Circuit for a Fault-Tolerant 256K MOS RAM, IEEE J. Solid-State Circuits, 1982, 17(4) : 726-730.
    [4. 16] Lizy Kurian John, and Eugene John, A Dynamically Reconfigurable Interconnect
    
    for Array Processors, IEEE Transaction on Very Large Scale Integration (VLSI) System, 1998, 6(1): 150~157.
    [4.17] Chin-Long Wey, On Yield Consideration for the Design of Redundant Programmable Logic Arrays, IEEE Transactions Computer-Aided Design, 1988, 7(4): 528~535.
    [4.18] J. Lach, W. H. Mangione-Smith, and M. Potkonjak, Low Overhead Fault-Tolerant FPGA System, IEEE Transaction on Very Large Scale Integration (VLSI) System, 1998, 6(2): 212~221.
    [4.19] 赵天绪,郝跃,许冬岗,VLSI 3-维容错结构及其成品率分析,半导体学报,1999,20(6):481~487.
    [4.20] 赵天绪,郝跃,朱建纲,一种有效的电路容错结构及其成品率分析,电子学报(已录).
    [4.21] A. D. Singh, Interstitial Redundancy: An Area Efficient Fault Tolerance Scheme for Large Area VLSI Proceesor Arrays, IEEE Transactions on Computers, 1988, 37(11): 1398~1410.
    [4.22] S. Dutt, J. P. Hayes, Some Practical Issues in the Design of Fault-Tolerant Multiprocessors, IEEE Transactions on Computers, 1992, 41(5): 588~598.
    [4.23] Y. Y. Chen, S. J. Upadhyaya. Yield analysis of reconfigurable array prcessors based on multiple-level redundancy, IEEE Trans. Computeres, 1993, 42(9): 1136-1141.
    [5.1] R. Chwang, M. Choi, D. Creek et al. , A 70ns High Density 64K CMOS Dynamic RAM, IEEE J. of Solid-State Circuits, 1983, 18(5):457~463.
    [5.2] C. Benevit, J. Cassard, K. Dimmmler et al., 256K Dynamic Random Access Memory, In IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.76~77, February, 1982.
    [5.3] R.W. Hadad, A. T. Dahbura and A. N. Sharma, Increased Throughput for the Testing and Repair of RAM's with Redundancy, IEEE Trans. on Computers, 1991, 40(2): 154~166.
    [5.4] L.F. Tasch et al., The Hi-C RAM Cell Concept, IEEE Trans. Electron Devices, 1978, 25(1): 33~41.
    
    
    [5. 5] P. K. Chatterjee et al, Taper Isolated Dynamic Gain RAM Cell, IEEE IEDM Tech. Dig., 1978.
    [5. 6] P. K. Chatterjee et al., A Survey of High-DensityDynamic RAM Cell Concepts, IEEE Trans. Electron Devices, 1979, 26(6) : 827-838.
    [5. 7] V. L. Rideout, One-Device Cells for Dynamic RAM's: A Tutorial, IEEE Trans. Electron Devices, 1979, 26(6) : 839-851.
    [5. 8] D. K. Pradhan and N. R. Kamath, Reconfigurable Word-Size TRAM Architecture with Built-in-Self-Test Capability, in Proc. Int. Test. Conf, Washington, DC. Sept.. 1988.
    [5. 9] C. H. Stapper et al., Yield Model for Productivity Optimization of VLSI Memory Chips with redundancy and Partially Good Products, IBM J. Res. Develop., 1980, 24(2) : 398-409.
    [5. 10] C. H. Stapper et al., Integrated Circuit Yield Statitics, Proe. IEEE, 1983, 71(4) : 453-470.
    [5. 11] T. Mangir and A. Avizienis, Fault-Tolerant Design for VLSI:Effect Interconnect Requirements on Yield Improvement of VLSI design, IEEE Trans. Computers, 1982, 31(6) : 609-616.
    [5. 12] Bruno Ciciani, Fault-Tolerance Consideration for Redundant Binary-Tree-Dynamic Random-Access-Memory (RAM) Chips, IEEE Trans, on Reliability, 1992,41(1) : 139-148.
    [5. 13] T. Mano et al., Circuit Techniques for a VLSI Memory, IEEE J. of Solid-State Circuits, 1983, 18(5) :463~469.
    [5. 14] T. Mano et al., A Redundancy Circuit for a Fault Tolerant 256K MOS RAM, IEEE J. of Solid-State Circuits, 1987, 18(4) :726~730.
    [5. 15] J. P. Hayes, Detection of Pattern Sensitive Faults in Ram's, IEEE Trans. Computers, 1975,24(1) : 150-157.
    [5. 16] D. S. Suk and S. M. Reddy, Test Procedures for a class of Pattern Sensitive Faults in Semiconductor RAM's, IEEE Trans. Computers, 1980, 29(3) : 419-429.
    [5. 17] J. Knaizuk and C. Hartmann, A Algorithm for Testing RAM's, IEEE Trans. Computers, 1977,26(3) : 414-416.
    [5. 18] C. A. Papachristou and N. B. Sahgal, An Improved Method for Detecting Functional Faults in Semiconductor RAM's, IEEE Trans. Computers, 1985,
    
    34(1): 110~116.
    [5.19] W. K. Fuch and M. Chang, Diagnosis and Repair of Large Memories: A Critical Review and Recent Results, in Proc. IEEE Int. Wkshp. Defect Fault Tolerance VLSI System, New York: Plenum, 1988, pp. 213~225.
    [5.20] S. E. Schuster, Multiple word/Bit Line Redundancy for Semiconductor Memories, IEEE J. of Solid-State Circuits, 1978, 13(5):698~703.
    [5.21] W. P. Shi and W. K. Fuchs, Probabilistic Analysis and Algorithms for Reconfiguration of Memory Arrays, IEEE Trans. Computer-Aided Design, 1992, 11(9): 1153~1160.
    [5.22] 舒贤林,徐志才,图论基础及其应用,北京:北京邮电学院出版社,1988.
    [5.23] 廖国宁,童勤义,整片集成中的容错技术及结构设计,固体电子学研究与进展,1987,7(4):296~302.
    [5.24] 郝跃,赵天绪,易婷,VLSI容错设计研究进展(1):缺陷的分布模型及容错设计的关键技术,固体电子学研究与进展,1999,19(1):20~32.
    [5.25] 车文刚,苏磊,王宏祥,焦越,二分图的无关分解及其在覆盖问题中的应用,电子学报,1998,25(6):42~47.
    [5.26] J. E Bindle, J. D. Chlipala et al., Cost-Effective Yield Improvement in Fault-Tolerant VLSI Memory, Proceedings of the IEEE Int. Solid-State Circuits Conf., pp. 82~83, Feb. 1981.
    [5.27] S. Y. Kuo and H. W. Leong, EfficientSpare Allocation in Reconfigurable Arrays, IEEE Design and Test, 1987, 4(1): 12~22.
    [5.28] D. Fussell, S. Rangarajan and M. Malck, Defect & Fault Tolerance in VLSISystems, Vol. Ⅰ,Ⅰ. Koren ed New York: Plenum, 1989:149~160.
    [5.29] C. P. Low and H. W. Leong, A New Class of Effcient Algorithms for Reconfiguration of Memory Arrays, IEEE Trans. on Computers, 1996, 45(5): 614~418.
    [5.30] N. Hasan, C. L. Liu, Minimum Fault Coverage in Reconfigurable Arrays, Proc. IEEE Int. Fault-Tolerant Computing Symposium, 1988:348~353.
    [5.31] R. L. Hadas and C. L. Liu, Fast Search Algorithms for Reconfiguration Problems, in Proc. IEEE Int. Wkshp. Defect Fault Tolerance VLSI Syst., Nov. 1991: 260~273.
    [5.32] C. P. Low and H. W. Leong, Minimum Fault Coverage in Memory Arrays: A Fast Algorithm and Probabilistic Analysis, IEEE Trans. on Computer-Aided
    
     Design of Integrated Circuits and Systems, 1996, 16(5) : 681-690.
    [5. 33] J. J. Hopfield and D. W. Tank, Neural Computation of Dicisions Optimization Problems, Biol. Cybernetics, 1985,52:141-152.
    [5. 34] D. W. Tank and J. J. Hopfield, Simple Neural Optimization Networks on A/D Converter, Signal Decision Circuit, and a Liner Programming Circuit., IEEE Trans. on CAS, 1986, 33(5) :533~541.
    [5. 35] M. Takoda and J. W. Goodman, Neural Networks for Computation: Number Representations and Programming Complexity, Applied Optics, 1986, 25(18) : 3033-3046.
    [5. 36] M. P. Kennedy and L. O. Chua, Neural Netwoks for Nonliner Programming, IEEE Trans.on CAS, 1988,35(5) :554-562.
    [5. 37] S. Y. Yuan and S. Y. Kuo, A New Technique for Optimization Problems in Graph Theory, IEEE Trans. on Computers, 1998, 47(2) :190-196.
    [5. 38] J. H. M. Korst and E. H. L. Aarts, Combinatorial Optimization on a Boltzmann Machine,J. Parallal and Distributed Computing, 1992, 3(6) :637~642.
    [5. 39] A. Jagota, Optimization by a Reduction to Maximum Clique, Proc. Int'l Conf. Neural Networks, 1993: 1526-1531.
    [5. 40] C .H. Stapper, A. N. Mclaren and M. Dreckmann, Yield Model for Productivity Optimization of VLSI Chips with Redundancy and Partially Good Product, IBM J. Res. Develop. ,1980, 24(3) : 398-409.
    [5. 41] I. Koren and M. A. Breuer, On Area and Yield Considerations for Fault-Tolerant VLSI Processor Arrays, IEEE Trans. on Computers, 1984, 33(1) :21~27.
    [5. 42] N. T. Jarwala and D. K. Pradhan, TRAM: A Design Methodology for High-Performance, Easily Testable, Multimegit RAM's, IEEE Trans. on Computers, 1988, 37(10) : 1235-1250.
    [5. 43] K. N. Ganapathy, A. D. Singh, D. K. Pradhan, Yield Optimization in Large RAM's with Hierarchical Redundancy, IEEE J. of Solid-State Circuits, 1991, 26(9) : 1259-1264.
    [6. 1] R. T. Smith et al. Laser programmable redundancy and yield improvement in 64K DRAM,IEEE J. Solid-State Circuits, 1981 ,16(5) : 506-513.
    
    
    [6.2] T. Mano, M. Wada, N. Ieda, and M. Tanimoto. A redundancy circuit for a fault-tolerant 256-K MOS RAM, IEEE J. Solid-State Circuits, 1982, 17(7): 726~730,.
    [6.3] S. Dutt, and J. P. Hayes. Some practical issues in the design of fault-tolerant multiprocessors, IEEE Trans. Computeres, 1992, 41(5):588~598.
    [6.4] A.D. Singh. Intersitial redundancy: an area efficient fault.tolerance scheme for large area VLSI processor arrays, IEEE Trans. Computeres, 1988, 37(11): 1339-1410.
    [6.5] Y.Y. Chen, S. J. Upadhyaya. Yield analysis of reconfigurable array prcessors based on multiple-level redundancy, IEEE Trans. Computeres, 1993, 42(9): 1136-1141.
    [6.6] J.C. Harden, N. R. Strader. Architectural Yield Optimization for WSI. IEEE Trans. Computeres, 1988, 37(1): 88-110.
    [6.7] 赵天绪,郝跃,朱建纲.一种有效的IC容错结构及其成品率分析.电子学报(己录取).
    [6.8] I. Koren and D. K. Pradhan. Modeling the Effectof Redundancy on Yield and Performance of VLSI Systems. IEEE Trans. Computers, 1987, 36(3): 344~355.
    [6.9] R. Geist and K. Trivedi, Reliability Estimation of Fault-tolerant Systems: Tools and Techniques. IEEE Computers, 1990, 23(1):52~61.
    [6.10] P. Hayes, A graph model for fault tolerant computing systems, IEEE Trans. Comput, vol. 25, No. 9,1976.
    [6.11] S. Raghavendra, A. Avizienis, and M. D. Ercegovac, Fault Tolerance in Binary Tree Architectures, IEEE Trans. on Comput., vol. 33, No. 6,1984.
    [6.12] Duff, and J. P. Hayes, On Designing and Reconfiguring k-Fault-Tolerant Tree Architectures, IEEE Trans. on Comput.,vol. 39,No. 4, 1990.
    [6.13] 赵天绪,郝跃,周涤非.d-叉树k-FT结构的可靠性分析及冗余单元的分配.西安电子科技大学学报,1999,26(2):197~200.
    [6.14] H. Y. Youn and A. D. Singh. On Implementing Large Binary Tree Architectures in VLSI and WSI. IEEE Trans. on Comput., 1990, 38(4): 526~537.
    [6.15] M. Wang, M. Cutler, and S. Y. H. Su, Reconfiguration of VLSI/WSI Mesh Array Processors with Two-Level Redundancy. IEEE Trans. Comput., vol.38,No.4, 1989.
    [6.16] 赵天绪,马佩军,郝跃,焦永昌.基于子单元级冗余的VLSI成品率优化设计方法.电子学报(已投)
    
    
    [6.17] 云庆夏,黄光球,王战权.遗传算法和遗传规划:一种搜索寻优技术.北京:冶金工业出版社,1997.
    [6.18] C. Thibeault, Y. Savaria, and J. L. Houle. A Fast Method to Evaluate the Optimum Number of Spares in Defect-Tolerant Integrated Circuits, IEEE Trans. on Comput., 1994,43(6):687-697.
    [6.19] W. P. Shi and W. K. Fuchs. Optimal Spare Allocation for Defect-Tolerant VLSI," in Proc. IEEE Int. Conf. Wafer Scale Integration, 1992,pp 193-199.
    [6.20] 赵天绪,郝跃,周水生.VLSI冗余单元最优分配的遗传算法求解.电子科学学刊(已录取)
    [6.21] D. E. Goldberg, Genetic Algorithms in Search, Optimization and Machine Learning, Reading, MA: Addsion-Wesley, 1989.
    [6.22] 赵天绪,郝跃,许冬岗.VLSI 3-维容错结构及其成品率分析.半导体学报,1999,20(6):481~487.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700