高性能路由器中NP并行处理及拥塞控制机制研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
新型的网络应用和协议以及高速发展的链路带宽在可扩展性和高性能报文转发方面对路由器提出了更高的要求。传统路由器却不能完全满足上述要求,基于网络处理器的高性能路由器由于同时具有高性能、高灵活性和高可扩展性而受到关注,成为研究的热点。
     基于网络处理器的高性能路由器的性能主要取决于如下三个方面:1)选用的网络处理器的性能;2)路由器转发系统的网络处理器组织和使用方式;3)所采用的相关服务质量控制算法。对上述三个方面展开研究,对于提高基于网络处理器的高性能路由器的综合性能具有重要意义。
     本文对上述三个方面进行了研究,主要内容包括:与网络处理器本身性能密切相关的多线程并行性能研究、并行网络处理器组成的高速转发子系统之间的负载均衡算法的研究以及适用于网络处理器的拥塞控制机制的研究。研究内容对于指导基于网络处理器的高性能路由器的设计发挥了重要作用。
     网络处理器的性能对路由器性能具有重要影响,而网络处理器性能主要取决于其采用的并行机制。本文提出了网络处理器并行性能模型NP~3M和多线程并行处理的时间模型;对NP~3M模型中的多线程停顿特性和切换开销因素进行了研究,并通过实验对模型及相关结论进行了验证,研究分析结论直接用于指导“863计划”重点课题的网络处理器微码设计和提高微码软件的处理效率。
     网络处理器间的并行数据处理是提高路由器性能的有效途径之一。本文针对多网络处理器并行处理产生的负载均衡、报文保序等问题开展研究,提出并设计了面向报文流量特征的多网络处理器动态负载均衡算法D-IHDA,在该算法中引入了极大流的动态判定机制和针对不同流量特征的报文定义了映射表项更新概率算法。提出了适用于汇聚网络处理器模型的流控算法思想,引入了二次分发机制以提高系统的性能,进一步降低报文丢弃率。实验表明,与相关算法相比,D-IHDA算法具有更好的扩展性,更低的报文丢失率和更好负载均衡度。
     服务质量控制算法对路由器的性能具有重要作用。本文通过对网络处理器处理数据报文的流程分析,归纳提出了多阶段拥塞控制模型,为解决每个阶段的拥塞控制机制之间互相孤立的问题,提出了一个多阶段资源感应拥塞控制机制CC-AMR,该机制可以综合利用多个资源信息来进行网络处理器的缓冲管理和拥塞避免,并直接应用于“863计划”重点课题,提高了路由器的性能。
     针对缩短队列平均长度和减少抖动问题,本文综合研究了流输入速率和缓冲占有率的关系,提出了一个基于输入速率和缓冲占用率的缓冲管理算法A-SARED。与RED和SARED相比,A-SARED具有较小平均队列长度和更平缓队列抖动特性的同时,具有更高的吞吐率。针对传统机制对区分服务支持的不足,设计了面向区分服务的缓冲管理与报文调度机制CCAAQM及其具体实现算法。模拟实验证明,CCAAQM机制能够在网络节点为需要可靠保证的流应用提供区分服务。
In recent years, new network applications and protocols as well as the link bandwidth requirements grow rapidly, higher performance and scalability are required for next generation high performance routers. However, the traditional routers cannot meet the requirements mentioned above. Therefore network processors-based high performance routers have attracted much attention because of their higher performance, flexibility and scalability.
     The performance of a network processors-based high performance router mainly depends on three factors: 1) .the inherent performance of network processors used in the router; 2). the organization and employment of network processors in router systems; 3). the related control algorithms of QoS. Carrying on research work around the three factors mentioned above is very important to improve the performance of network processors-based high performance routers.
     This dissertation focuses on the three factors related to the performance of network processors-based routers. It includes: 1).the performance of parallel multithreads; 2).the dynamic load balance algorithm for high speed packet forwarding system composed of the parallel network processors; 3).the congestion control mechanisms fit for parallel network processors. It is important for designing network processors-based high performance routers.
     The performance of network processors has a significant impact on the performance of routers, while the performance of network processors mainly depends on the parallel processing mechanisms. Network processors parallel performance model (NP~3M) and network processors Multithread Parallel Processing Time Model are constructed in the dissertation, and these models are verified by experiments. Furthermore, the characteristics of multithread halting and the cost of multithread switching are studied, and the results are verified by experiments. The results of the research have been used to guide the design of network processors picocode of the important "863" project and to improve the processing efficiency of picocode software.
     Parallel data processing between network processors is an efficient way to improve the performance of routers. In this paper, we conduct research on load balance and packets order preserving problems which are induced from parallel processing of network processors, propose and design D-IHDA, a dynamic load balance algorithm orienting packet traffic characteristics, D-IHDA introduces a dynamic judging mechanism for maximum traffic and defines the probabilistic algorithm for updating mapped entries according to different traffic characteristics. We propose the idea for traffic control algorithm which can be used in aggregate network processor models, and introduce the twice-distributing mechanism to improve the system performance and further reduce the packet loss rate. The simulation results show that this algorithm with the well-chosen design parameters has better overall performance on its expansibility, load balancing and packet ordering compared with other algorithms.
     QoS controls algorithms are very important to the performance of routers. Through analysis of the data packet processing flow of network processors, we induce the multistage congestion control mechanism. Furthermore, to solve the problem of isolation between the congestion control mechanisms in different congestion control stage, we propose CC-AMR, a congestion control mechanism with awareness of multistage resources, which can synthetically utilize some resource congestion information to manage the buffer of network processors and aviod congestion. We improved the performance of routers by applying this mechanism in the important project of National High-Tech Research (863) Program.
     In order to reduce average queue length and alleviate wobble problem, a buffer management algorithm called A-SARED based on the relationship of packet flow input rate and buffer occupancy percentage is proposed. Compared with RED and SARED, it has shorter average queue length as well as improved throughput. Contraposed to traditional mechanism poorly supporting QoS, we design a DiffServ-oriented buffer management and packet schedule mechanism called CCAAQM and the corresponding implementation algorithms. The simulation results demonstrate that CCAAQM mechanism can provide differentiated service for flows that need assurable service within network node.
     The work of the dissertation is supported by the important project of National Science Foundation of China (NSFC) and the important project of National High-Tech Research (863) Program. The major results are successfully applied in developing the "New Generation Internet High Performance Router". This project gained the Second prize of National Scientific and Technological Progress Award in 2006.
引文
[1] Network Processor Forum. http://www.npforum.org.
    
    [2] Network Processor Conference. http://www.networkprocessors.com.
    
    [3] Spalink T, Karlin S, Peterson L, Gottlieb Y. Building a robust software-based router using network processors. In: Proceedings of the 18th SOSP. ACM Press, 2001. 216-229.
    [4] Patrick Crowley&Jean-Loup Baer, A Hybrid Framework for Network Processor System Analysis, Department of computer Science&Engineering.Universitv of Washintton.1st Workshop on Network processors 2002
    [5] Patrick Crowley, Marc E. Fiuczynski, Jean-Loup Baer, and Brian N.Bershad,Characterizing processor architectures for programmable network interfaces,Proceedings of the 2000 International Conference on Supercomputing, Santa Fe. N.M.. May. 2000.
    [6] Ning Weng and Tilman Wolf. Pipelining vs. multiprocessors - choosing the right network processor system topology [A][C]. in Proceedings of Advanced Networking and Communications Hardware Workshop (ANCHOR 2004), Munich, Germany, June 2004
    [7] Huan Liu, "A trace driven study of packet level parallelism", in proceedings of the International Conference on Communications (ICC), New York NY IEEE IEEE Computer Society Press, 2002. 2191-2195.
    [8] TAN Zhang-Xi, LIN Chuang, Analysis and Research on Network Processor[J] Journal of Software Vol.14, No.2 2003
    [9] Wolf T, Franklin MA. CommBench-a telecommunications benchmark for network processors[A][C].In: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software. Austin, TX, 2000. 154-162.
    [10]Gohkham Memik, William Mangione-Smith,NetBench:Benchmarking Suite for Network Processors",ComDUterAided Desien 2001.Paees 3942.Wendong Hu,International Conference
    
    [11] http://www.npforum.org 2002
    
    [12] Campbell AT, Chou ST, Kounavis ME, Stachtos VD. NetBind: a binding tool for constructing data paths in network processor-based routers. In: Proceedings of the 5th IEEE Conference on Open Architectures and Network Programming (OPENARCH).IEEE Computer Society Press. 2002. 91-103.
    [13] P. Crowley, et al., Characterizing Processor Architectures for Programmable Network Interfaces, in Proc. of the 14th Int'l Conf. on Supercomputing, pp.54-65, May 2000
    [14] P. Crowley et al, On the performance of multithreaded architectures for network processors. Technical Report 2000-10-01, Department of Computer Science, University of Washington, 2000
    [15]Tzi-cker Chiueh and Prashant Pradhan, Cache Memory Design for Network Processors,In Proc. of 6th Intl. Symp. on High Performance Computer Architecture, Tolouse, France,January 2000
    [16]Kartik Gopalan and Tzi-cker Chiueh, Improving Route Lookup Performance Using Network Processor Cache, In Proc. of SC2002 High Performance Networking and Computing, Baltimore, MD, November 2002
    [17]Akhbarizadeh, M.J.; Nourani, M., Efficient prefix cache for network processors, in Proc.of 12th Annual IEEE Symposium on High Performance Interconnects, pp. 41 — 46, 2004
    [18]Jean-Loup Baer, Douglas Low, Patrick Crowle Neal Sidhwaney,Memory Hierarchy Design for a Multiprocessor Look-up Engine, in Proc. of.IEEE PACT 2003
    [19]I. Papaefstathiou, et al, Queue Management in Network Processor, .Design, Automation and Test in Europe (DATE'O5) Volume 3., pp.112-117
    [20] Timothy Sherwood, George Varghese, Brad Calder, A Pipelined Memory Architecture for High Throughput Network Processors, In Proceedings of the 30th International Symposium on Computer Architecture (ISCA), June 2003
    [21] Olaf Bonorden., et al, A holistic methodology for network processor design,in Proc. of the .28th Annual IEEE International Conference on Local Computer Networks (LCN'03.,p. 583
    [22] Andreas Hottmann, et al, A novel methodology for the design of application specific instruction-set processors (ASIPs) using a machine description language, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, No.11,OVEMBER2001
    [23] Wander O. Cesario, et al, Component-based design approach for multicore SoCs.DAC 2002 pp.789-794
    [24] Mel Tsai, Chidamber Kulkarni, et al, A Benchmarking Methodology for Network Processors, In Proceedings of First Workshop on Network Processors&Applications (NP-1) in conjunction with 8th International Symposium on High Performance Computer Architecture (HPCA-8), Boston, MA, February 2002
    [25] M. Dries, C. Kulkarni, C. Sauer, K. Keutzer, Exploring Trade-offs in Performance and Programmability of Processing Element Topologies for Network Processors, 2nd Workshop on Network Processors (NP2) at the 9th International Symposium on High Performance Computer Architecture(HPCA9), Anaheim CA, February, 2003
    [26] M. Dries, C. Kulkarni, C. Sauer, K. Keutzer, Comparing Analytical Modeling with Simulation for Network Processors: A Case Study, Design, Automation,and Test in Europe (DATE), Munich, Germany, March, 2003
    [27] Tilman Wolf and Mark A. Franklin, Design Tradeoffs for Embedded Network Processors,in Proceedings of International Conference on Architecture of Computing Systems (ARCS), Karlsruhe, Germany, April 2002
    [28] A. Agarwal, Performance tradeoffs in multithreaded processors, IEEE Transactions on Parallel and Distributed Systems, 3(5):525-539, September 1992
    [29]NLANR Network Traffic Packet Header Traces. http://pma.nlanr.net/Traces/
    [30]Samarjit Chakraborty, Simon K"unzli, Lothar Thiele, Performance Evaluation of Network Processor Architectures: Combining Simulation with Analytical Estimation, The International Journal of Computer and Telecommunications Networking, vol. 41, issue 5,pp. 641-665. April 2003
    [31] W. Xu, L. Peterson, Support for software performance tuning on network processors,IEEE Network 17 (4) (2003) 40-45
    [32] http://www.cs.ucr.edu/~yluo/nepsim/
    [33]Hao Yin, Zhangxi Tan, Chuang Lin, Geyong Min, Yanfei Fan, A Context-Based Simulation Tool for Design and Evaluation of Network Processors,Simulation Modelling Practice and Theory, SIMPAT D-04-00061
    [34]Manasi Deval, et al, Distributed Control Plane Architecture for Network Elements, Intel Technology Journal, vol. 07, issue 04, 2003
    [35]LIU H. A trace driven study of packet level parallelism[A]. Proceedings of the International Conference on Communications (ICC) [C].New York, NY: IEEE Computer Society Press, 2002. 2191-2195
    [36] FRANKLIN M, WOLF T.A network processor performance and design model with benchmark parameterization[A] First Workshop on Network Processors at the 8th International Symposium on High Performance Computer Architecture (HPCA8) [C].Cambridge MA, USA, 2002.
    [37]MELIN S, NEMIROVSKY M. A massively multithreaded packet processor[A] The 2nd Workshop on Network Processors(NP-2)Anaheim[C]. California, 2003
    [38]TULLSEN D, EGGERS S, LEVY H. Simultaneous multithreading: maximizing on-chip parallelism [A]. Proceedings of the 22nd Annual International Symposium on Computer Architecture[C]. Santa Margherita Ligure, Italy, 1995.392-403.
    [39]TULLSEN D M, EGGERS S, LEVY H M.. Simultaneous multithreading: maximizing on-chip parallelism[A]. Proceedings of the22th Annual International Symposium on Computer Architecture[C]. 1995.
    
    [40] Intel, IXA Software Framework Developer's Manual, 2003
    [41]Teja Technologies, Inc., Teja C: A C-based Programming language for Multiprocessor Architectures, Network Processor Design: Issues and Practices, Volume 2, November 2003
    [42] Shah, N, Plishker, W. and Keutzer, K. NP-Click: A Programming Model for the Intel IXP 1200, In 2nd Workshop on Network Processors (NP-2) Anaheim, CA, February 2003
    [43]Memik and W. H. Mangione-Smith, NEPAL: A framework for efficiently structuring applications for network processors. In Proc. of Network Processor Workshop in conjunction with Ninth International Symposium on High Performance Computer Architecture (HPCA-9), Feb. 2003
    [44]Xiaoning Nie, et al, A New Network Processor Architecture for High-Speed Communication, IEEE Workshop on Signal Processing Systems, 1999
    [45]Nie XN, Gazsi L, Engel F, Fettweis G. A New Network Processor Architecture for high-speed communications. in Proceedings of the IEEE workshop on signal processing systems. IEEE Computer Society Press, 1999. 548-557.
    [46]Niti Madan and Erik Brunvand. A Case for Asynchronous Microengines for Network Processing. In Advanced Networking. and Communications Hardware Workshop (ANCHOR 2004),. June 2004.
    [47] Madhusudanan Seshadri, Mikko Lipasti. A Case for Vector Network Processors.NPC-West2002, 2002.
    [48] Chidamber Kulkarni, Christian Sauer, Matthias Gries,Programming Challenges in Network Processor Deployment. In proceedings of the Conference on Compilers,Architecture, and Synthesis for Embedded Systems (CASES'03), 178-187, October,2003.
    [49]Shah N.Understanding network processors[MS.Thesis].Berkeley:Department of Electrical Engineering and Computer Sciences,University of California,2001
    [50]Muthu Venkatachalam,Prashant Chandra,Raj Yavatkar.A highly flexible,distributed multiprocessor architecture for network processing.IEEE Computer Networks Vol.412003,pp563-586
    [51]L.Hammond,B.Nayfeh,and K.Olukotun.A single-Chip Multiprocessor.In IEEE Computer 30(9),pp.79-85,September 1997.
    [52]L.A.Barroso,K.Gharachorloo,R.McNamara,A.Nowatzyk,S.Qadeer,B.Sano,S.Smith,R.Stets,and B.Verghese.Piranha:A Scalable Architecture Based on Single-Chip Multiprocessing.In Proceedings of the 27th Annual International Symposium on Computer Architecture,June 2000.
    [53]S.J.Eggers,J.S.Emer,H.M.Levy,J.L.Lo,R.L.Stamm and D.M.Tullsen.Simultaneous multithreading:A platform for next-generation processors.IEEE Micro.17(51:12-19.September/October 1997.
    [54]D.Tullsen,S.Eggers,J.Emer and H.Levy,J.Lo,and R.Stamm.Exploiting Choice:Instruction Fetch Issue on an Implementable Simultaneous Multithreading Processor.Proc.23rd Annual international Smposium on Computer Architecture,pp.191-202,May 1996.
    [55]IBM network processor NP4GS3 overview[EB/OL],http://www.chips.ibm.com/techlib
    [56]EZchip Corporation,EZchip Technologies,Network Processor Designs for Next-Generation Networking Equipment[R].White paper,1999.
    [57]Intel Corp,Intel IXP 2800 Network Processor Family[R].Hardware Reference Manual,2004.
    [58]Mohammed Peyravian,Jean Calvignac "Fundamental architectural considerationsfor network processors" Computer Networks 41(2003) 587-600
    [59]Niraj Shah,Understanding Network Processor,2001.9
    [60]Mohammad Peyravian,Jean Calvignac,Fundamental architecture considerations for network processors,Elsevier Science,Computer networks,2003,vol 41,p587-600
    [61]陈一骄,胡晓峰,孙志刚.高速网络接口流量分配技术设计与实现.中国通信专用集成电路技术及产业发展研讨会,2003.
    [62]谭章熹,林闯,任丰源,周文江.网络处理器的分析与研究 软件学报2003/14(02)0253 Vol.14,No.2
    [63]H.Shimonishi and M.Yoshida,An improvement of weighted round robin cell scheduling in ATM networks,in Proceedings of IEEE Globecom'97,vol.2,1997,pp.1119-1123
    [64]邵荣平,网络处理器并行处理技术研究,国防科学技术大学工学硕士学位论文,2003
    [65]K.W.Ross.Hash routing for collections of shared web caches.IEEE Network,Vol.11,No.6,November-December 1997.
    [66]G.Barish,K.Obraczka.World Wide Web caching:trends and techniques IEEE Communications Magazine,Vol.38,No.5,pp.178-184,May 2000.
    [67]David G.Thaler,C.V.Ravishankar.Using name-based mappings to increase hit rates.IEEE/ACM Transactions on Networking,1998:1-14
    [68]Cao Z,Wang Z,Zegura E.Performance of hashing-based schemes for Internet load balancing.In:Nokia FB,ed.Proc.of the IEEE INFOCOM 2000.Piscataway:IEEE Computer and Communications Societies,2000.332-341
    [69]L.Kencl,J.Le Boudec.Adaptive load sharing for network processors.In IEEE INFOCOM 2002,New York,NY,USA,June 2002,pp.545-554
    [70]G.Dittmann,A.Herkersdorf,Network processor load balancing for high-speed links,in 2002 International Symposium on Performance Evaluation of Computer and Telecommunication Systems(SPECTS 2002),San Diego,CA,USA,July 2002,pp.727-735
    [71]Jiani Guo,Jingnan Yao and Laxmi Bhuyan.An Efficient Packet Scheduling Algorithm in network processors.In Proceedings of IEEE INFOCOM 2005,July 2005
    [72]W.Shi,M.H.MacGregor et al.An Adaptive Load Balancer for Multiprocessor Routers.University of Alberta,Edmonton,AB,T6G 2E8,Canada http://www.cs.ualberta.ca/~pawel/PAPERS/.2004
    [73]P.Pappu,T.Wolf.Scheduling Processing Resources in Programmable Routers.In Proceedings of IEEE INFOCOM 2002,pp.104-112,July 2002
    [74]Tanenbaum A.Distributed Operating Systems.Prentice Hall,1999.
    [75]周昔平,多线程网络处理器分布式内核结构研究,西北工业大学,博士学位论文,2005
    [76]Hans-Ulrich Heiss,Michael Schmitz Decentralized Dynamic Load Balancing:The Particles Approach Information Sciences,Vol.84,Issue 1-2(May 1995)C 115-128
    [77]J.S.Marron,Felix Hernandez-Campos,F.D.Smith.Mice and Elephants Visualization of Internet.In Proceedings of 15th Conference on Computational Statistics,Berlin,Germany,August 24-28,2002.
    [78]Yin Zhang,Lee Breslau,Vern Paxson and Scott Shenker,On the characteristics and origins of internet flow rates.In Proc.of.SIGCOMM,Pittsburgh,PA,USA,August 2002.
    [79]Tatsuya Mori,Ryoichi Kawahara,.Shozo Naito,Shigeki Goto.On the characteristics of Internet traffic variability-Spikes and Elephants.In Proceedings of IEEE/IPSJ SAINT,pp.99-106,Tokyo,Japan,Jan 2004.
    [80]张晓明,网络处理器设计的若干关键技术研究,国防科技大学,博士学位论文,2006
    [81]L.A.Adamic,B.A.Huberman,Zipf's law and the Internet
    [82]Patrick Crowley,Marc E.Fiuczynski,Jean-Loup Baer.On the Performance of Multithreaded Architectures for Network Processors Technical Report 2000-10-0,University of Washington.
    [83]K.Papagiannaki,N.Taft,S.Bhattacharyya,et.al.On the Feasibility of Identifying Elephants in Internet Backbone Traffic.Sprint ATL Research Report Nr.RR01-ATL-110918.Sprint ATL.Nov 2001.
    [84]Passive Measurement and Analysis(PMA).http://pma.nlanr.net
    [85]NLANR PMA:Special Traces:Abilene-V http://pma.nlanr.net/Special/ipls5.html
    [86]NLANR PMA PSC trace Site.http://pma.nlanr.net/PMA/Sites/PSC.html
    [87]NLANR PMA FRG trace Site.http://pma.nlanr.net/PMA/Sites/FRG.html
    [88]Maria Gabrani,Gero Dittmann,Andreas Doering,et.al.Design Methodology for a Modular Service-Driven Network Processor Architecture.In Computer Networks-Special Issue on Network Processors,Elsevier Science,Vol.41,No.5,pp.623-640,April 2003.
    [89]G.Dittmann,A.Herkersdorf,Network processor load balancing for high-speed links,in 2002 International Symposium on Performance Evaluation of Computer and Telecommunication Systems(SPECTS 2002),San Diego,CA,USA,July 2002,pp.727-735
    [90]L.Kencl,J.Le Boudec.Adaptive load sharing for network processors.In IEEE INFOCOM 2002,New York,NY,USA,June 2002,pp.545-554
    [91]W.Shi,M.H.MacGregor et al.An Adaptive Load Balancer for Multiprocessor Routers.University of Alberta,Edmonton,AB,T6G 2E8,Canada http://www.cs.ualberta.ca/~pawel/PAPERS/.2004
    [92]胡晓峰,并行路由器体系结构若干关键技术研究,国防科技大学,博士学位论文,2003
    [93]http://www.eembc.org
    [94]W.Stevens TCP Slow Start,Congestion Avoidance,Fast Retransmit,and Fast Recovery Algorithms,IETF RFC 2001.January 1997.
    [95]J.Bennett,C.Partridge,and N.Shectman.Packet reordering is not pathological network behavior.IEEE/ACM Transactions on Networking,1999,7(6):789-798
    [96]Clearwater Networks CNP810SP SMT core:http://www.cs.ucla.edu/~tomyeh/clearwater.htm.
    [97]B Robatmili,N Yazdani,M Nourani,NPSMT:A Simulation Environment for SMT Packet Processors
    [98]Madhu S,et al.Network processors:Guiding Design Through Analysis.Available at http://www.cs.wisc.edu/~johnbent/Projects/net_proc.pdf
    [99]Thiele L,et al.Design Space Exploration of Network Processor Architectures.First Workshop on Network Processors at the 8th International Symposium on High Performance Computer Architecture(HPCA8),Cambridge MA,USA,February 2002
    [100]Arnaud B.Scaling Issues on Internet Networks.2001.Available at http://www.canet3.net/library/papers/scaling.pdf
    [101]Odlyzko A M.Internet Traffic Growth:Sources and Implications.Optical Transmission Systems and Equipment for WDM Networking Ⅱ.In Proceedings of SPIE,2003,vol.5247:1-15
    [102]Park C,Hernandez-Campos F,Marron J S,Smith F D.Long-Range Dependence in a Changing Internet Traffic Mix.Technical Report NO 2004-9,Statistical and Applied Mathematical Sciences Institute,2004.Available at http://www.cs.unc.edu/~fhernand/Papers/ComNet04a.pdf
    [103]Coffman A G,Odlyzko A M.Growth of the Internet.Optical Fiber Telecommunications Ⅳ Journal,July 2001
    [104]Prashant Pradhan Wen Xu Indira Nair SambitSahu,"Efficient and Faithful performance Modelingfor Network-Processor Based System Designs"
    [105]M.Rosenblum,et al,"Using the SimOS Machine Simulator to Study Complex Computer Systems," Modeling and Computer Simulations,Vol.7,No.1,pp.78-103,1997.
    [106]Wen Xu,Larry Peterson,"Support for Software Performance Tuning on Network Processors" IEEE Network,July/August 2003
    [107]A Striegel,G Manimaran.Differentiated services:Packet Scheduling with delay and loss differentiation[J].Computer Communications,2002,25(1):21-31.
    [108]李锁钢.吴建平,徐恪 一种综合缓存管理和主动队列管理的区分服务节点机制 电子学报 2005年5月第5期 vol.33 pp847-851
    [109]Nichols K,et al.A two2bit differentiated services architecture for the Internet[S].IETF,1999,RFC 2638.
    [110]IBM Network ProcessorNP 4GS3 DataSheet,available at:http://www.chips.ibm.com/techlib.
    [111]Boyd,S.,L.El Ghaoui,E.Feron and V.Balakrishnan.Linear Matrix Inequalities in System and Control Theory.SIAM,1994.
    [112]Branicky,M.S.Stability of switched and hybrid systems.In:Proc.of the 33rd IEEE Conference on Decision and Control.Lake Buena Vista,Florida,1994.
    [113]Johansson,M.and A.Rantzer.Computation of piecewise quadratic Lyapunov functions for hybrid systems.In:Proc.of the 4th European Control Conference.Brussels,Belgium,1997.
    [114]Khalil,H.K.Nonlinear Systerns.Prentice-Hall,1996.
    [115]Fabian Wirth.On the calculation of time-varying stability,Institute fur Dynamische System University,Bremen Germany,1991.
    [116]R.M.Murray,Z.Li and S.S.Sastry,"A Mathematical Introduction to Robotic Manipulation",CRC Press,1994.
    [117]J.Wang,K.Nahrstedt.Parallel IP packet forwarding for tomorrow's IP routers.Proc.of IEEE workshop on HPSR'O1,Dallas,May 2001.
    [118]L.Kencl.Load sharing for multiprocessor network nodes[PhD Thesis].Swiss Federal Institute of Technology in Lausanne,Jan.2003.
    [119]P.Sagmeister Scaling Network Processor performance to 40 GbPs.June 2001.Available at http://www.comsoc.org/tcgn/conference/gbn2001/sagmeister_scaling-presentation.pdf
    [120]R.Schaller.Moore's law:past,present and future.IEEE Spectrum,1997,34(6):52-59
    [121]N.McKeown.Growth in router capacity.IPAM Workshop,Oct.2003,Lake Arrowhead,CA.Available at http://tiny-tera.stanford.edu/~nickm/talks/index.html
    [122]K.Keutzer,Enabling Fully Programmable Embedded System Soltltions,Gigaseale Silicon Researeh Center Annual Review.Deeember 1999
    [123]P.Fernndez and N.McKeown.TCP switching:exposing circuits to IP.IEEE Micro,2002,22(1):82-89
    [124]P.Fernndez and N.McKeown.The performance of circuit switching in the Internet.The journal of optical networking,2003,2(4):83-96
    [125]W.Stevens.TCP slow start,congestion avoidance,fast retransmit,and fast recovery algorithms.RFC 2001,Jan.1997.
    [126]M.Allman,V.Paxson,and W.Stevens.TCP congestion control.RFC 2581,April 1999.
    [127]罗万明,林闯,阎保平.TCP/IP拥塞控制研究.计算机学报,2001,24(1):1-18.
    [128]Braden B,Clark D.Recommendations on queue management and congestion avoidance in the Internet[Z].RFC 2309,April 1998.
    [129]刘明,窦文华,张鹤颖 主动队列管理研究综述 计算机工程 2006年12月 Vol.32No.24 84-86
    [130]Roberts J W,Oueslati Boulahia S,Quality of Service by Flow Aware Networking[J],Philosophical Transactions of The Royal Society of London,seriesA,358(1773),Aug.2000
    [131]Peterson Larry L,Davie Bruce S.Computer Networks:a System Approach(2nd)[M],San Francisco,California:Morgan Kaufman Publishers,2000
    [132]杨湘,陈建二,王建新QoS体系中的主动队列管理算法研究综述 现代电子技术2005年第15期 pp:47-53
    [133]S.Floyd,V Jacobson.Random early detection gateways for congestion avoidance.IEEE/ACM Transactions on networking,1993,1(4),397-413
    [134]D.Lin,R.Morris.Dynamics of random early detection.ACM SIGCOMM'97,127-137,1997
    [135]J.O.Teunis,T.V.Lakshman,H.W.Larry.SRED:Stabilized RED.In Proc.IEEE INFOCOM'99.New York,USA,1999.1346-1355
    [136]S.Floyd,R.increasing the Gummadi,S.Shenker.Adaptive RED:An algorithm for robustness of RED's active queue ACIRI Technical Report,2001
    [137]R.Pan,B.Probhakar,K.Psounis.CHOKe:A stateless active queue management scheme for approximating fair bandwidth allocation.In Proc.IEEE INFOCOM'00 vol.2,Tel Aviv,Israel,March 2000,pp.942-951
    [138]C.Hollot,V.Misra,D.Towsley,W.Gong.Analysis and design of controllers for AQM routers supporting TCP flows.IEEE Transactions on automatic control,2002 47(6):pages 945-959
    [139]章森,吴建平.林闯.p~2I:一种新的主动队列管理算法.计算机学报,2003,26(10):1288-1294
    [140]H.Zhang,B.Liu,W.Dou.Design of a robust active queue management algorithm based on feedback compensation.ACM SIGCOMM 2003,277-285,2003.
    [141]C.Wang,B.Li,Y.T.Hou,K.Sohraby,Y.Lin.LRED:A robust active queue management scheme based on packet loss ratio.In Proc.IEEE INFOCOM'04.March 2004,pp.1-12
    [142]Kunniyurs,R.Srikant.Analysis and design of an adaptive virtual queue(AVQ)algorithm for active queue management.In Proc.ACM SIGCOMM'O1.San Diego,USA,August 2001,pp.123-134
    [143]W.Feng,D.D.Kandlur,D.Saha,and K.G.Shin.The blue active queue management algorithms.IEEE/ACM Transactions on networking,2002,10(4):pp 513-528.
    [144]R.Pan,L.Breslau,B.Prabhakar,S.Shenker.Approximate fairness through differential dropping.ACM Computer Communication Review,July 2003.
    [145]I.Stoics.Core-stateless fair queue:Achieving approximately fair bandwidth allocations in high-speed networks.IEEE/ACM Transactions on networking,2003,11(1) pp:33-46
    [146]李方敏,叶澄清.一种支持优先级标记处理的主动队列管理机制.软件学报,2002,13(4)pp:846-852
    [147]S.Athuraliya,S.Low,V.Li,Q.Yin.REM:Active queue management.IEEE Network Magazine,2001,15(3):pages 945-949
    [148]M.Kwon,S.Fahmy.A comparison of load-based and queue-based active queue management algorithms.
    [149]E.C.Park,H.Lim,K.J.Park,C.H.Choi.Analysis and design of the virtual rate control algorithm for stabilizing queues in TCP Networks.Computer Networks,2004,44(1):17-41
    [150]Y.Gao,G.He,J.C.Hou.On Exploiting Traffic Predictability in Active Queue Management.IEEE INFOCOM'02,2002
    [151]张鹤颖,刘宝宏,窦文华.一种基于速率和队列长度的主动队列管理机制.电子学报,2003 31(11)PP:1743-1746
    [152]纪其进,董永强 主动队列管理算法性能评价比较研究.通信学报,2005年11月第26卷第11期
    [153]A.K.Parekh,R.G.Gallager.A Generalized Processor Sharing approach to flow control in integrated services networks:The single-node case.IEEE/ACM Transactions on networking.1993,1(3):344-357
    [154]A.K.Parekh,R.G.Gallager.A Generalized Processor Sharing approach to flow control in integrated services networks:The multiple-node case.IEEE/ACM Transactions on networking.1994,2(2):137-150
    [155]M.Katevenis,S.Sidiropoulos,and C.Courcoubetis,Weighted round-robin cell multiplexing in a general-purpose ATM switch chip,IEEE Journal on Selected Areas in Communications,vol.9,no.8,pp.1265-1279,Oct.1991.
    [156]M.Shreedhar and Gvarghese.Efficient fair queueing using deficit round robin.IEEE/ACM Transactions on networking,vol.4,no.3,pp.375-385,June 1996
    [157]Altintas,dal.,"Urgency-based round robin:A new scheduling discipline for multiservice packet switching networks," IEICE Transactions on Communication,vol.E81-B,no.11,pp.2013-2022,Nov.2001.
    [158]A.Demers,S.Keshav,S.Shenker.Analysis and simulation of a fair algorithm.ACM SIGCOMM'89.1989.
    [159]R.Bennett and H.Zhang.WFzQ:worst-case fair weighted fair queueing.In Proc.IEEE 1NFOCOM'96,pp.120-128,Mar.1996
    [160]C.R.Beonett,H.Zhang.Hierarchical packet fair queueing algorithms.IEEE/ACM Transactions on networking,Vol.5,No.S,pp.675-689,Oct.1997.
    [161]S.Golestani.A self-clocked fair queueing scheme for broadband applications.In Proc.IEEE INFOCOMM'94,pp.636-645,1994
    [162]F.M.Chiussi,A.Francini,Minimum-delay self-clocked fair queueing algorithm for packet-switched networks.In Proc.IEEE INFOCOMM'98,vol.3,pp.1112-1121,1998
    [163]P.Goyal and H.M.Vin.Start-time fair queueing:A scheduling algorithm for integrated services packet switching networks.IEEE/ACM Transactions on networking,vol.5,no.5,pp.690-703,1997
    [164]D.Stiliadis,A.Varma.Efficient fair queueing algorithms for packet-switched networks.IEEE/ACM Transactions on networking,vol.6,no.2,pp.175-185,1998
    [165]L.Zhang.Virtual clock:A new traffic control algorithm for packet switching networks.ACM SIGCOMM'90.1990
    [166]Liu,J.Layland.Scheduling algorithms for multiprogramming in a hard real-time environment.Journal of ACM,1973,20(I):46-61
    [167]D.Ferrari D.C.Verma.A scheme for real-time channel establishment in wide-area networks.IEEE JSAC,1990
    [168]D.Verma,H Zhang,D.Ferrari.Delay jitter control for real-time communication in a packet switching network In Proc.Of the TriComm' 91.Chapel Hill,NC,Mar,1991
    [169]L.Georgiadis,R.Guerin,V.Peris,K.Sivarajan.Efficient network QoS provisioning based on per node traffic shaping IEEE/ACM Transactions on networking,1996,4(4)
    [170] K. Zhu, Y. Zhuang, and Y. Viniotis. Achieving end-to-end delay bounds by EDF scheduling without traffic shaping. In Proc. IEEE INFOCOM'Ol, vol.3, pp. 1493 — 1501,2001.
    [171] J. Liebeherr, E. Yilmaz. Work-conserving vs. non-work conserving packet scheduling: An issue revisited. Tn Proc. IEEE/TFIP 7th International Workshop an Quality of Service(IWQoS'99). June 1999
    [172] floyd S, Jacobson V. Link Sharing and Resorce Management Models for Packet Network IEEF/ACM Transactions On Networking, 1995, 3(4): 365-386.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700