低延迟无缓存传输与控制分离的片上网络拓扑结构研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
先进微电子与半导体工艺技术极大地提升了晶体管翻转速度,降低了门延时,但是芯片中全局线时延导致的信号传输与功耗问题却变得越来越糟糕。由于当前的微处理器体系结构决定着芯片中导线必须连接芯片上的每一个功能单元,因而,互连线延时成为阻碍芯片性能提升的关键因素。同时,单一芯片上可集成的处理器核和各种功能模块的数量持续增加,现有的微处理器体系结构不再具备解决片上多处理器芯片系统所需的能力。基于互连流水思想的片上网络(Network on-Chip,NoC)的体系结构是一种理想的解决方案,它将不可预测全局线时延转变可控的事件延迟。基于片上网络的系统将以计算为中心的系统设计方法转变到以通信为中心的系统设计方法上来,为片上多处理器核系统提供高性能的通信与数据传输。
     网格型结构、树形结构、多环形结构等是当前应用和研究较多几种片上网络拓扑结构。作为一个前沿性的研究领域,片上网络在它的拓扑结构、应用开发、系统平台及其开发工具设计等方面还有许多的课题值得深入地开展研究,比如EDA设计工具、操作系统、实现成本、网络延迟、缓存策略、网络拥塞、死锁,以及网络热点等问题。且现有的片上网络体系结构中,交换节点是最基本组成单元,它承担着片上网络除物理层之外的全部功能,所以它的功能繁琐、结构复杂、实现成本较高,数据传输在节点内的延迟也较长。这种结构不仅阻碍了片上网络性能的提升,且大量的内置缓存也大大增加芯片的实现成本。
     基于上述分析,本文提出一种新型的片上网络拓扑结构:传输与控制分离的片上网络S-mesh。基于S-mesh系统结构中,其片上通信网络采用电路交换方式,而处于网络外部边缘设备如资源节点则采用报文分组交换方式。S-mesh片上网络结构包含两个子网:基于2D-mesh的数据传输网络和基于Butterfly的控制网络。S-mesh网络结构与其他几种网络拓扑的区别主要有两点。第一:S-mesh网络的交换节点不再承担传输层功能,网络层功能。第二:设置网络管理单元,实现系统的资源管理、路由决策以及流量控制等功能。本文的研究工作主要体现在网络的拓扑结构、无缓存的交换节点结构、网络的流量控制、以及系统的路由算法等几个方面。
     在网络的拓扑结构方面,采用传输与控制分离的体系结构以精简交换节点功能,构建低延迟的片上通信网络。其次,采用无缓存的交换节点结构来减少缓存容量、降低芯片实现成本,使报文在交换节点传输延迟缩减为一个时钟周期。基于最短路由和目标驱动的S-mesh路由算法为网络中传输进程确定最优路由路径,并与系统三级流量控制策略一起,为S-mesh网络拥塞和死锁等现象的解决奠定了基础。最后采用旁路网络的BS-mesh网络结构来优化网络中相邻节点的通信性能。
     结果表明,S-mesh网络具备很强的处理能力,其控制网络的峰值处理能力可达2425 MIPS;传输与控制分离的体系结构对网络资源统一管理,避免了网络拥塞和死锁现象的产生;而无缓存的交换节点结构使芯片具有更低实现成本,更高的对分带宽,单交换节点最高可提供23.5GB/s数据传输带宽,在4×4的S-mesh结构中,对分带宽最高为37.64GB/s,其芯片实现面积具有明显的优势,处于领先水平(0.0186mm~2),且具有更快的运行速度。
     传输与控制分离的S-mesh片上网络具有低延迟、高性能、低成本特点。S-mesh网络结构适合在中等及其以上的网络规模中使用,对报文长度较长的业务更具优势,BS-mesh网络结构则适合于数据传输具有明显区域性的应用场合。
The advanced technologies have improved transistors switching rate, and reduced transistor gate delay. However, signal transmission and power consumption are getting worse due with the global wire delay. And furthermore, currently microprocessor architecture determines the chip wires should be connected to every memory and function logic unit. The widening gap between the relative of gate speed and global wire delay will have a serious impact on microarchitecture performances. Facing the increasing of the number of embeddable microprocessors and special logic modules, the current microprocessor architecture might own insufficient ability to deal with demands for the multi-core chip systems. An ideal alternative architecture for these challenges and demands is Network on-Chip (NoC) based on pipeline method. It could convert the unpredictability of the global wire delay into the predictable event latency. The system architecture based on NoC that changes system method from computation-centered to communication-centered. And what its key goal of on-chip network is to construct a high-performance on-chip communication network with low-latency, and scalability for multi-core chip system.
     At present, the major NoC topologies include the mesh structure, tree structure, and multi-ring structure. As a new type of research fields on the cutting edge of science, there are many interesting research topics in NoC fields, such as system architecture, service applications, system design platforms etc. However, NoC architectures have inherited some weak points from the computer communication network, e.g. EDA tools, operation system, cost, latency, buffer strategy, network congestion, deadlock, and network hot spots and other issues. Due to the fact that the switch node as the primitive element in the current NoC architectures should be needed to finish all missions except physical layer functions.
     In brief, we present a new type of NoC topology named as S-mesh. It is an on-chip network with the separation of control and transmission. In the S-mesh system, the kernel communication network adopts circuit switching mode, and the edge devices, such as resource nodes, adopt the packet switching mode. The S-mesh network architecture consists of two types of sub-networks: mesh-based data transmission network and butterfly-based control networks. There are two unique characteristics. One is that functions of switch nodes only undertake link layer functions and physical layer functions. Another is that the new control units added would be responsible for the system resource management, routing decisions, and flow control. The main study of this thesis mainly embody in several aspects, such as network topology, unbuffered switch microarchitecture, network flow control mechanism , and system routing algorithm, etc.
     In the first place, the S-mesh architecture designed as separation of transmission and control to optimize the switch node's functions, and to construct lower-latency on-chip communication network. Secondly, the unbuffered switch node architecture can effectively reduce the chip cost. And the packets latency in each switch node would be reduced to one clock cycle. Thirdly, the routing algorithm of S-mesh based on the shortest routing algorithm destination-oriented routing algorithm is designed to make optimum transmission paths. It works with three-level flow control strategy to make the system immunizing for network congestion and deadlock. In the last place, BS-mesh network architecture based on bypass network optimizes the adjacent nodes communication performance in the S-mesh architecture.
     The results shown that control network in S-mesh has strong ability to handle numerous transmission processes. Its peak performance is approximately 2425 MIPS. The S-mesh architecture has immunities on network congestion and deadlock. The unbuffered architecture of switch node can reduce system cost. Meanwhile its bandwidth can achieve approximate 23.5GB/s. The bisection bandwidth of the 4×4 S-mesh network is up to 37.64GB/s. It has obvious advantages on switch area (0.0186mm~2 ) and higher operating speed.
     The S-mesh network architecture possesses a few characteristics of low-latency, high-performance, and low-cost. It is suitable for medium-scale and large-scale on-chip network, especially for services with long packet length. Meanwhile, the BS-mesh network architecture is suitable for data transmission which is locality effect.
引文
[1]Agarwal A.RAW Computation.SCIENTIFIC AMERICAN.1999,281(2):44-47
    [2]Rusu S,Tam S,Muljono H,et al.A 45nm 8-Core Enterprise Xeon Processor.In:IEEE International Solid-State Circuits Conference(ISSCC 2009).San Francisco:2009.56-57
    [3]Forsell M.Networks on Chip(Part Ⅲ)- Introduction to concepts in parallel computing.In:27~(th) European Solid-State Circuits Conference.Villach,Austria:2001
    [4]Keckler S W,Burger D,Moore C R,et al.A Wire-Delay Scalable Microprocessor Architecture for High Performance Systems.In." IEEE International Solid-State Circuits Conference(ISSCC 2003).San Francisco:2003.168-169
    [5]Agarwal V,Hrishikesh M S,Keckler S W,et al.Clock rate versus IPC:the end of the road for conventiona microarchitectures,ln:Proceedings of Int.Syrup.on Computer Architecture.Vanncouver,Canada:2000.248-259
    [6]Friedman E G.On-Chip Interconnection:The past,Present,and Future.In.Design,Automation and Test(DATE 06) -lst NoC workshop.Munich,Germany:2006
    [7]Leon S,Campi F.Microprocessor Technologies Overview.In:IEEE International Solid-State Circuits Conference(ISSCC 2009).San Francisco:2009.54-55
    [8]Sgroi M,Sheets M,Mihal A,et al.Addressing the system-on-a-chip interconnect woes through communication-based design.In.Proceedings of Design Automation Conference.Las Vegas,USA:2001.667-672
    [9]Keutzer K,Newton A R,Rabaey J M,et al.System-level design:Orthogonalization of concerns and platform-based design.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.2000,19(12):1523-1543
    [10]ITRS.The International Technology Roadmap for Semiconductors(2001 edition)Interconnect.ITRS,2002.20-23
    [11]Scheffer L.Methodologies and tools for pipelined on-chip interconnect.In:IEEE international conference on computer design:VLSI in computers and processors.Freiburg,Germany:2002.152-157
    [12]Dally W J,Towles B.Route Packets,Not Wires:On-Chip Interconnection Networks.In:Proceedings of Design Automation Conference.Las Vegas,USA:2001.683-689
    [13]Jantsch A,Hannu Techhune(Eds).Networks on Chip.Netherlands:Kluwer Academic Publishers,2003.3-17,19-38
    [14]Benini L,Micheli G De.Networks on Chips:A New SoC Paradigm.Computer.2002,35(1):70-78
    [15]Pande P P,Grecu C,Jones M,et al.Performance Evaluation and Design Trade-Offs for Network on-Chip Interconnect Architectures.IEEE Trans.on Computers.2005,54(8):1025-1040
    [16]Coppola M,Locatelli R,Maruccia G,et al.Spidergon:a novel on chip communication network.In:Inernational Symposium on System on Chip.Tampere,Finland:2004.15-
    [17]Rijpkema E,Goossens K,Wielage P.A router architecture for networks on silicon.In:Proceedings of Progress 2001,2nd Workshop on Embedded Systems.
    [18]Dall'Osso M,Biccari G,Giovannini L,et al.Xpipes:a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs,In:Proceedings of 21~(st) International Conference on Computer Design.SanJose,USA:2003.536-539
    [19]Taylor M B,Psota J,Saraf A,et al.The RAW Microprocessor:A Computational Fabric For Software Circuits and General-Purpose Program.IEEE Micro,2002,22(2):25-35.
    [20]Millberg M,Nilsson E,Thid R,et al.The Nostrum backbone-a communication protocol stack for networks on chip.In:Proceedings of 17~(th) International Conference on VLSI Design.Mumbai,India:2004.693-696
    [21]Hemani A,Jantsch A,Kumar S,et al.Networkon a chip:An architecture for billion transistor era.In:Proceeding of the 18~(th) IEEE NorChip Conference.Turku,Finland:2000.166-173
    [22]Lee S E,Bagherzadeh N.Increasing the Throughput of an Adaptive Router in Network-on-Chip(NoC).In:Proceedings of the 4~(th) International Conference on Hardware/software codesign and system synthesis(CODES+ISSS '06).Seoul,Korea:2006.82-87
    [23]Tiensyrj(a|¨) K,Jantsch A.NOCARC:Network on Chip Architectures.Stockholm:VTT Technial research center of Finland.2002.1-1
    [24]Erland Nilsson.Desgin and analysis of a potato switch in Network on Chip[Master thesis].Stockholm:Royal Institute of Technology,2002
    [25]Mirza-Aghatabar M,Koohi S,Hessabi S,et al.An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models.In:10th Euromicro Conference on Digital System Design Architectures, Methods and Tools(DSD 2007).L(u|¨)beck,Germany:2007.19-26
    [26]Guerrier P,Greiner A.A generic architecture for on-chip packet switched interconnections.In:Proceedings of Design,Automation and Test in Europe Conference and Exhibition(DATE 2000).Paris,France:2000.250-256
    [27]Pande P P,Grecu C,Ivanov A,et al.Design of a Switch for Network on Chip applications.In:Proceedings of the 2003 International Symposium on Circuits and Systems(ISCAS 2003).Bangkok,Thailand:2003.V-217-V-219
    [28]Karim F,Nguyen A,Dey S.An interconnect architecture for networking System on Chips.IEEE Micro,2002.22(5):36-45
    [29]Bononi L,Concer N.Simulation and Analysis of Network on Chip Architectures:Ring,Spidergon and 2D Mesh.In:Proceedings of Design,Automation and Test in Europe(DATE2006).Munich,Germany:2006.2-6
    [30]王小丹.2D mesh NOC网络拓扑结构优化研究:[硕士学位论文].武汉:华中科技大学图书馆,2008.
    [31]Fen G,Ning W,Qi W.Simulation and Performance Analysis of Network on Chip Architectures using OPNET,In:IEEE TENCON 2007.Taipei:2007.1-4
    [32]ISO/IEC.Information technology-Open Systems Interconnection-Basic Reference Model Naming(ISO/IEC 7498-3-1997,I.JTC-1,Edito).ISO/IEC,1997
    [33]Coppola,M.迈向多处理器系统级芯片时代的片上网络技术.电子工程专辑,2006.03
    [34]Comer D E.Network Systems Design Using Network Processors.Prentice Hall,2005.49-53
    [35]Bjerregaard T,Mahadevan S.A Survey of Research and Practices of Network-on -Chip.ACM Computing Surveys,2006.38(1):1-51
    [36]Millberg M(Eds).The nostrum protocol stack and suggested services provided by the nostrum backbone.Stockholm,Sweden:Royal Institute of Technology(KTH),2002.5-25
    [37]Dehyadgari M,Nickray M,Afzali-kusha A,et al.A new protocol stack model for network on chip.In:IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures(ISVLSI2006).Karlsruhe,Germany:2006.440-441
    [38]STMicroelectronics.STMicroelectronics Unveils Innovative Network-on-Chip Technology for New System-on-Chip Interconnect Paradigm.STMicroelectronics,2005.
    [39]Coppola M,Pistritto C,Locatelli R,et al.STNoC:An Evolution toward the MPSoC era.In:Proceedings of the Conference on Design,Automation and Test in Europe (DATE2006)-NoC Workshop.Munich,Germany:2006
    [40]Kumar S.On Packet Switched Networks for On_Chip Communication.In:Networks on Chip,A.Jantsch and Hannu Techhune(Eds).Netherlands:Kluwer Academic Publishers.2003.85-106
    [41]Bertozzi D,Benini L,Micheli G D.Low power error resilient encoding for on-chip data buses.In:Proceedings of Design,Automation and Test in Europe Conference and Exhibition(DATE 2002).Paris,France:2002.102-109
    [42]高明伦,杜高明.NoC:下一代集成电路主流设计技术.微电子学,2006,36(4):461-466
    [43]Chandra G,Kapur P,Saraswat K C.Scaling trends for the on chip power dissipation.In:Proceedings of the IEEE International on Interconnect Technology Conference.San Francisco,CA:2002.170-172
    [44]Konstantakopoulos T,Eastep J,Psota J,et al.Energy Scalability of On-Chip Interconnection Networks in Multicore Architectures.Journal of supercomputing,2008.45(3):341-364
    [45]Gebali F,Elmiligi H,EL-Kharashi M W(Eds).Networks-on-chips - Theory and Practice.New York:Taylor & Francis Group,2009.65-123
    [46]ACM/IEEE.Networks-on-Chip.In:The lst ACM/IEEE International Symposium on Networks-on-Chip.Princeton,NJ:2007.1-1
    [47]Liu D,Wiklund D,Svensson E,et al.SoCBUS:The solution of high communication bandwidth on chip and short TTM(Invited paper).In:Real Time and Embedded Computing conference.G(o|¨)teborg:2002
    [48]Wiklund D,Liu D.SoCBUS:switched network on chip for hard real time embedded systems.In:Proceedings of International Parallel and Distributed Processing Symposium(IPDPS 2003).France:2003.8-16
    [49]Taylor M B.The Raw Architecture - A Concrete Perspective.RAW Architecture Group,MIT:2003
    [50]Taylor M B,Kim J,Miller J,et al.A 16-issue multiple-program-counter microprocessor with point-to-point scalar operand network.In:IEEE International Solid-State Circuits Conference(ISSCC 2003).San Francisco,CA:2003.170-171
    [51]Chen T,Raghavan R.Dale J.Cell Broadband Engine Architecture and its first implementation.IBM Journal of Research and Design,2007,51(5):559-
    [52]徐晟,刘星,余江,等.Cell/B.E.处理器编程手册.北京:电子工业出版社,2009.32-35.
    [53]林海波,谢海波,邵凌,等.Cell BE处理器编程指南.北京:电子工业出版社, 2008.10-15
    [54]Tilera Corporation.TILE64 Processor Product Brief.Tilera Corporation,2008
    [55]Tilera Corporation.TILE processor archittecure overview.Tilera Corporation,2007.33-37.
    [56]Vangal S R,Howard J,Ruhl G,et al.An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS.IEEE Journal of Solid-State Circuits,2008.43(1):29-41
    [57]Vangal S,Howard J,Ruhl G,et al.An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS.In:IEEE International on Solid-State Circuits Conference(ISSCC 2007).San Francisco,CA:2007.98-99,589
    [58]Seiler L,Carmean D,Sprangle E,et al.Larrabee:A Many-Core x86 Architecture for Visual Computing.ACM Transactions on Graphics.2008.27(3):1-15
    [59]朱晓静,胡伟武,马可,等.Xmesh:一个mesh-like片上网络拓扑结构.软件学报,2007.18(9):2194-2204
    [60]赵宏智,王景存,王沁,等.一种基于星型子网的片上网络结构研究.系统仿真学报,2007.19(22):5336-5338
    [61]温东新,朴守业,王玲,等.一种新型NoC拓扑结构的研究.高技术通讯,2008(7):699-703
    [62]荆元利,樊晓娅.网络互连多线程处理器.计算机工程与应用,2005.41(33):51-53.78
    [63]Gratz P,Sankaralingam K,Hanson H,et al.Implementation and evaluation of a dynamically routed processor operand network.In:Proceedings of First International Symposium on Networks-on-Chip(NOCS '07).Princeton,USA:2007.7-17
    [64]Gratz P,Changkyu K,Sankaralingam K,et al.On-Chip Interconnection Networks of the TRIPS Chip.IEEE Micro.,2007.27(5):41-50
    [65]LaPedus,M.Intel tips teraflops programmable processor.Intel Corporation,2006.
    [66]Hennesy J L,Patterson D A.计算机系统结构量化研究方法(英文版·第4版),郑维民,汤志忠,汪东升,编.北京:机械工业出版社,2004.614-623
    [67]袁涛,樊晓桠,荆元利.面向并行DSP应用的双路由多层Mesh结构研究.计算机工程与应用,2007.43(6):88-91
    [68]张恒龙,顾华玺,王长山,片上网络拓扑结构的研究.中国集成电路,2007.16(11):42-47
    [69]Bijlsma,B.Asynchronous Network-on-Chip Architecture Performance Analysis [MSC Thesis].Delft:Delft University of Techonolgy,2005.
    [70]Hossain H,Ahmed M,Al-Nayeem A,et al.Gpnocsim - a general purpose simulator for network-on-chip.In:International Conference on Information and Communication Technology(ICICT 2007).Dhaka,Bangladesh:2007.254-257
    [71]Al-Nayeem A,Islam T Z.gpNoCsim 1.0 User's Guide.University of Illinois,2006.
    [72]Puente V,Beivide R,Gregorio J A,et al.Adaptive Bubble Router:A Design to Improve Performance in Torus Networks.In:Proceedings of the 1999 International Conference on Parallel Processing(ICPP 1999).Wakamatsu,Japan:1999.58-67
    [73]Lee S,Lee K,Yoo H.Analysis and implementation of practical,cost-effective networks on chips.IEEE Design & Test of Computers,2005,22(5):422-433
    [74]Goossens K,Dielissen J,Radulescu A.Ethereal Network on Chip:Concepts,Architectures and Implementations.IEEE Design & Test of Computers,2005,22(5):414-421
    [75]The Internet Engineering Task Force(IFTF),RFC2328 - Open Shortest Path First IGP(ospf).IFTF,2009.
    [76]王卫亚,王凤琳.基于遗传-蚁群融合算法的OSPF路由算法QoS扩展.计算机工程与应用,2008,44(29):108-111
    [77]陈青,郝跃,蔡觉平.基于分组网络结构NOC的蚁群路由算法.半导体技术,2008,33(2).167-170
    [78]ARM Limited.ARM922T~(TM) with AHB ProductOverview System-on-Chip Platform OS Processor.ARM Limited,2007.
    [79]Rowen C.Engineering the Complex SOC:Fast,Flexible Design with Configurable Processors.Upper Saddle River,NJ:Prentice Hall PTR,2004,80-82
    [80]刘浩,邹雪城,王文敏,等.片内多核共享信箱的研究与设计.华中科技大学学报(自然科学版).2009,6:86-89.
    [81]Dielissen J,Radulescu A,Goossens K,et al.Concepts and implementation of the Philips network-on-chip.In:IP Based SoC Design 2003.Grenoble,France:2003.
    [82]Zipf P,Hinkelmann H,Ashraf A,et al.A Switch Architecture and Signal Synchronization for GALS System-on-Chips.In:17th Symposium on Integrated Circuits and Systems Design(SBCCI 2004).Pernambuco,Brazil:2004.210-215
    [83]Bolotin E,Cidon I,Ginosar R,et al.QNoC - QoS architecture and design process for Network on Chip.Journal of system architecture,2004,50:105-128
    [84]Kolodny A.Power and Area Efficient Network-on-Chip Architectures.SRC/Freescale,Israel Institute of Technology,2005.
    [85]Liu J,Zheng L R,Tenhunen H.A circuit-switched network architecture for network-on-chip.In:Proceedings of IEEE International on SOC Conference (SOCC 2004).Santa Clara,USA:2004.55-58
    [86]Kim J,Dongkook Park,Theocharides T,et al.A low latency router supporting adaptivity for on-chip interconnects.In:Proceedings of the 42~(nd) Design Automation Conference(DAC 2005).Anaheim,USA:2005.559-564.
    [87]Duato J,Yalamanchili S,Ni L.Interconnection Networks,an Engineering Approach(2~(nd) edition).San Francisco:Morgan kaufmann publishers,2002.83-207
    [88]Wolkotte,P.T.,et al.,An energy-efficient reconfigurable circuit-switched NOC.In:Proceedings of 19th IEEE International Parallel and Distributed Processing Symposium(IPDPS 2005).Denver,Colorado,USA:2005.155a- 155a.
    [89]Palesi M,Holsmark R,Kumar S.A methodology for design of Application Specific Routing Algorithms for NoC Systems.In:Proceedings of the 4~(th) International Conference on Hardware/software Codesign and system synthesis(CODES+ISSS 2006).Seoul,Korea:2006.142-147
    [90]Stensgaard M B,Sparso J.ReNoC:A Network-on-Chip Architecture with Reconfigurable Topology.In:2~(nd) ACM/IEEE International Symposium on Networks-on-Chip(NOCS 2008).Newcastle University,UK:2008.55-64
    [91]Ye T T.On-chip multiprocessor communication network design and analysis[Ph.D Thesis].San Francisco:Stanford University,2004.
    [92]Dally W J.Virtual-Channel Flow Control.IEEE Transactions on Parallel and Distributed Systems,1992,3(2):194-205
    [93]Taylor M B.Design Decisions in the Implementation of a Raw Architecture Workstation.Massachusetts:Massachusetts Institute of Technology,1999.
    [94]Guerrier P,Greiner A.A generic architecture for on-chip packet switched interconnections.In:Proceedings of Design,Automation and Test in Europe Conference and Exhibition(DATE 2000).Paris,France:2000.250-256
    [95]Chao H J,Lam C H,Oki E.Broadband Packet Switching Technologies:A Practical Guide to ATM Switches and IP Routers.New York:John Wiley & Sons,Inc,2001.480-
    [96]Wu J.A fault-tolerant and deadlock-free routing protocol in 2D meshes based on odd-even turn model.IEEE Transactions on Computers,2003.51(9):1154-1169
    [97]Chiu G.The odd-even turn model for adaptive routing.IEEE Transactions on Parallel and Distributed Systems,2002.11(7):729-738
    [98]Holsmark R,Kumar S.Design issues and performance evaluation of mesh NoC with regions.In:IEEE 23~(rd) Norchip Conference 2005.Oulu,Finland:2005.40-43
    [99]孙志刚.太比特交换开关拓扑结构研究.中兴通讯技术,2001,4:10-14
    [100]Rijpkema E,Goossens K,Radulescu A,et al.Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip.IEE Proceedings of Computers and Digital Techniques,2003.150(5):294-302
    [101]Radulescu A,Goossens K.Communication Services for Networks on Chip.SAMOS,2002:275-299.
    [102]Barth,J.,et al.A 500MHz Random Cycle 1.5ns-Latency,SOI Embedded DRAM Macro Featuring a 3T Micro Sense Amplifier.In:IEEE International Solid-State Circuits Conference(ISSCC 2007).San Francisco:2007.486-487,617
    [103]Esakkimuthu G,Vijaykrishnan N,Kandemir M,et al.Memory system energy:Influence of hardware-software optimizations,In:Proceedings of the International Symposium on Low Power Electronics and Design(ISLPED 2000).Rapallo,Italy:2000.244-246
    [104]Shiue W T,Chakrabarti C.Memory exploration for low power,embedded systems.In:Proceedings of the 36th Design Automation Conference(DAC 1999).Atlanta Georgia,USA:1999.140-145
    [105]Youssef M A,El-Derini M N,Aly H H.Structure and Performance Evaluation of a Replicated Banyan Network Based ATM Switch.In:IEEE Symposium on Computers and Communications(ISCC 2002).Red Sea,Egypt:2002.258-265
    [106]McKeown N.iSLIP:A Scheduling Algorithm for Input-Queued Switches.IEEE Transactions on Networking,1999,7(2):36
    [107]McKeown N.Fast Switched Backplane for a Gigabit Switched Router.Business Communication Review,1997.27(12):29
    [108]宾雪莲,杨玉海,金士尧.一种有限优先级的静态优先级分配算法.软件学报,2004,15(6):815-822
    [109]Radulescu A,Dielissen J,Goossens K,et al.An Efficient On-Chip Network Interface Offering Guaranteed Services,Shared-Memory Abstraction,and Flexible Network Configuration.IEEE Transactions on CAD of Integrated Circuits and Systems,2004,24:4-17
    [110]Park D,Nicopoulos C,Kim J,et al.,A Distributed Multi-Point Network Interface for Low-Latency,Deadlock-Free On-Chip Interconnects.In l~(st) International Conference on Nano-Networks and Workshops(NanoNet 2006).Lausanne,Switzerland:2006.1-6
    [111]Moore,G.E.,Cramming more components onto integerated circuit.Elecronics,1965,38(8):114-117
    [112]Kim J,Nicopoulos C,Park D,et al.A Novel Dimensionally-Decomposed Router for On-Chip Communication in 3D Architectures.In:34~(th) International Symposium on Computer Architecture(ISCA2007).San Diego,California,USA:2007.138-149
    [113]Bahn J H,Lee S E,Bagherzadeh N.Design of a router for network-on-chip.International Journal of High Performances Systems Architecture,2007,1(2):98-105
    [114]Lee S E,Bahn J H,Bagherzadeh N.Design of An Adaptive Router Architecture for Network-on-Chip.Irvine,University of California:2007
    [115]Wu D,Al-Hashimi B M,Schmitz M T,et al.,Improving Routing Efficiency for Network-on-Chip through contention-Aware Input Selection.In:Proceedings of the Asia and South Pacific Design Automation Conference(ASP-DAC 2006),Yokohama,Japan:2006.36-41
    [116]Benini L,Bertozzi D.Network-on-chip architectures and design methods.IEE Proceedings of Computers and Digital Techniques,2005,152(2):261-272
    [117]Tamhankar R,Murali S,Stergiou S,et al.Timing-Error-Tolerant Network-on-Chip Design Methodology.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2007,26(7):1297-1310
    [118]Sutherland I E,Ebergen J.Computers without Clocks:How a Rendezvous Circuit Works.SCIENTIFIC AMERICAN,2002,8:62-69
    [119]饶永.基于虫洞交换技术的片上互连网络路由研究[硕士学位论文].长沙:湖南大学图书馆,2007.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700