集成电路成品率预测技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
在过去的几十年中,半导体制造技术一直沿着摩尔定律飞速发展。现今最先进集成电路设计中的关键尺寸已经达到了22nm,远低于光刻工艺中的光源波长。新工艺技术的引入以及制造环境因素开始剧烈的影响到集成电路的可靠性和成品率。研究集成电路制造中成品率损失的深层次原因和解决办法成为现代半导体产业的一个重要课题。可制造性设计和针对成品率设计的概念就是在这样一个背景下提出的。
     论文即针对成品率这一问题展开,内容为集成电路成品率预测技术研究。在半导体行业,成品率定义为在一次投产中,产出满足性能要求的芯片在芯片生产总数中所占的比例。成品率关系到制造成本和收益,因此直接影响一个集成电路项目的成败。而成品率预测技术使生产者能够在生产前便对最终的成品率有所了解,从而在需求、成本和收益之间做出适当的部署,而非盲目的投产。更进一步,依靠成品率预测技术,生产者还能够分析造成成品率损失的原因,制定可行且高效的成品率优化策略。以下概括论文的主要内容和创新点。
     开发了一款用于预测和分析集成电路成品率的工具平台。基于对成品率预测技术的理解,论文完成了成品率预测工具平台的研发,并就运算效率方面做了深入的研究和有效的改进。该平台在国内一家主流的集成电路制造厂商中通过了验址。
     提出了一种多线程计算框架用以计算超大规模集成电路上的关键面积。在该计算框架下,关键面积的计算时间同版图的面积成线性关系,即随着版图规模的增加,程序的运行时间线性增长。同时,程序运行时所需内存也可以调节。这两点优势使得我们的工具能够应对超大规模的纳米级集成电路版图。
     改进了通孔层成品率模型的计算精度。为提高电路的可靠性和降低断路故障发生的几率,设计者越来越多的使用了冗余通孔和冗余走线。因此,传统的基于单通孔计数的成品率模型因未考虑回路的情况给出的预测往往存在较大的误差。通过对版图建立连接关系图并设计一种线性时间复杂度的用于从图中搜索回路通孔算法,论文提出了一种改进的通孔层成品率计算方法。
     提出了一种新的版图数据结构,其时间和空间上的性能表现均优于现有的版图数据结构。版图数据结构是本文的成品率预测平台以及其他众多的后端验证应用工具的基础。版图数据结构的新能直接影响到这些工具的性能和用户体验。EDA工具的开发者总是希望版图数据结构提供更快的操作同时占用更少的内存。同迄今为止被业界认为最优的HV/VH Tree相比,新数据结构执行区域检索的速度快出30%,并占用更少的内存。
     提出了一种针对记忆体电路的成品率模型。鉴于记忆体电路中冗余单元的存在,传统的成品率模型并不适用于记忆体电路。论文提出了一种针对记忆体电路的成品率模型。除成品率预测常规的作用之外,该方法还有助于记忆体电路中冗余单元的优化设计。举例来说,在记忆体电路的设计阶段布置更多的冗余单元固然能够提高生产的成品率,但同时也增大了芯片面积进而降低了产量。一个精确的成品率模型能够帮助设计者在上述两种考虑间做出权衡。
In the past few decades, VLSI manufacturing technology has been advancing according to Moore's Law. The critical dimension of state-of-the-art chip already achieves 22nm, far below the wavelength of the light source used in lithography. The performance and reliability of integrated circuits have been negatively affected by the introduction of new processes and the manufacturing environment, and yield drops. Identification and fix of the root causes of yield losses is becoming a very important topic in semiconductor industry. Design for manufacturability and design for yield are proposed under such a circumstance.
     We have focused on yield, and the content of this thesis is the yield predicting technique. In semiconductor industry, yield is defined as the ratio of the number of good units of a manufactured product that meets all the requirements in the specification to the total number of manufactured units. Yield is directly related to the profitability on semiconductor products, so it is critical to the business success of integrated circuits projects. Predictability in manufacturing yield is important for production control, material management, timely product delivery and other business factors. Furthermore, by adopting appropriate yield models in yield predicting enables fabricators to analyze the sources of yield losses, thus to establish effective moves to efficiently improve manufacturing yield. Following contents are a summarization of our main research contents and innovations:
     Implemented a software platform aiming at yield prediction and analysis. Based on the knowledge of yield predicting techniques, we have accomplished the development work of the software, and made special effort to improve its calculation efficiency. The tool passed verification in a mainstream semiconductor foundry in mainland China.
     Proposed a parallel computing scheme for critical area extraction on state-of-the-art chips. By employing this scheme, the extraction time of critical area is linear with respect to the chip area, the extraction time increases linearly as the chip gets larger. Meanwhile, the space requirement within our scheme is also configurable. These two factors make our tool feasible to handle nano-scale layouts.
     Improved the accuracy of yield calculation for via layers. In order to increase reliability and reduce the potential for open faults, designers are introducing redundant vias and interconnects. So the single via counting method in via layer yield modeling is not sufficiently precise since it does not take loops into consideration. We come up with an improved method by modeling the problem as a geometric graph problem and an algorithm which extracts loop vias in linear time complexity.
     Proposed a new layout data structure which outperforms all existing ones taking both time and space into consideration. Layout data structure is the foundation of our yield predicting software and lots of other applications used for post-layout verification. The performance of the layout data structure directly affects the performance of those applications and the user experience. EDA software designers always hope to find better data structures which provide faster access operations and use less memory space at the same time. Compared with HV/VH Tree which is considered as the best choice to date, our new data structure performs region query 30% faster, and uses much less memory.
     Proposed a yield model for memory chip yield predicting. Due to the existence of redundancies, traditional yield models do not apply to memory chips. We proposed a yield model specially customized for memory layouts. In addition to the regular advantages yield predicting provides, our model enables the designer to optimize redundancy amount and scheme. For instance, designing more redundancies do help increase manufacturing yield, but it also increases the chip size thus decreases the productivity. An accurate yield model helps designer to determine that trade-off. Key words:Design for Manufacturability, Design for Yield, Yield Model, Critical Area, Yield Predicting Technique, Layout Data Structure, Concurrent Programming
引文
[1]中华人民共和国科学技术部.核心电子器件、高端通用芯片及基础软件产品专项.http://www.nmp.gov.cn/zxjs/200901/t20090113_2120.htm.
    [2]中华人民共和国科学技术部.极大规模集成电路制造装备与成套工艺专项.http://www.nmp.gov.cn/zxjs/200901/t20090113_2119.htm.
    [3]Moore's Law. http://en.wikipedia.org/wiki/Moore%27s_law.1965
    [4]A.K.K. Wong. Resolution enhancement techniques in optical lithography. Society of Photo Optical.2001.
    [5]Mark LaPedus. Intel drops 157-nm tools from lithography roadmap. http://eetimes.com/electronics-news/4092441/.2003
    [6]Mark LaPedus. IBM sees immersion at 22nm, pushes out EUV. http://www.eetimes.com/electronics-news/4069824/.2007
    [7]G. Zhang, P.Y. Yan, T. Liang, et al. Progress on EUV mask fabrication for 32-nm technology node and beyond.2007. pp.66070R
    [8]T. Miura, K. Murakami, K. Suzuki, et al. Nikon EUVL development progress update.2008. pp.6921 OM
    [9]B.J. Lin. Methods to print optical images at low-k factors.1990. pp.2
    [10]P.D. Buck, M.L. Rieger. Phase-shift mask applications.1991. pp.218
    [11]Z.M. Ma, S. McDonald, C. Progler. Revisiting adoption of High Transmission PSM:pros, cons and path forward.2009. pp.752017
    [12]H.M. Yao, X. Luo, X. Chen, et al. OAI and PSM used in 193-nm microlithography.1999. pp.253
    [13]L.A. Joesten, M.T. Reilly, J. DeSisto, et al. Effect of scattering bar assist features in 193-nm lithography.2002. pp.861
    [14]O.W. Otto, J.G. Garofalo, KK Low, et al. Automated optical proximity correction:a rules-based approach.1994. pp.278
    [15]J. Li, D.A. Bernard, J.C. Rey, et al. Model-based optical proximity correction including effects of photoresist processes.1997. pp.643
    [16]L. Pang, D. Peng, P. Hu, et al. Optimization from design rules, source and mask, to full chip with a single computational lithography framework: level-set-methods-based inverse lithography technology (ILT).2010. pp.764023
    [17]C. Chiang, J. Kawa. Design for manufacturability and yield for nano-scale CMOS. Recherche,2007,67:02
    [18]David Anderson. Design for Manufacturability & Concurrent Engineering. CIM Press.2004.
    [19]P. Buck, R. Gladhill, J. Straub. Mask manufacturing rules checking (MRC) as a DFM strategy.2007. pp.65211V
    [20]G. Yeric, B. Hatamian, R. Kapoor. Accurate lithography analysis for yield prediction.2007pp.67300S
    [21]A.H. Liu, R. Solis, J.H. Givens. Utilization of optical metrology as an in-line characterization technique for process performance improvement and yield enhancement of dielectric and metal CMP in IC manufacturing.1999. pp.102
    [22]Mark Rencher. Yields can be improved via design techniques. http://www.eetimes.com/electronics-news/4154004.2003
    [23]C.H. Stapper. Fact and fiction in yield modeling. Microelectronics Journal, 1989,20(1):129-151
    [24]JT Wallmark. Design considerations for integrated electronic devices. Proceedings of the IRE,1960,48(3):293-300
    [25]SR Hofstein, FP Heiman. The silicon insulated-gate field-effect transistor. Proceedings of the IEEE,1963,51(9):1190-1202
    [26]B.T. Murphy. Cost-size optima of monolithic integrated circuits. Proceedings of the IEEE,1964,52(12):1537-1545
    [27]RB Seeds. Yield, economic, and logistic models for complex digital arrays. 1967. pp.61-66
    [28]RB Seeds. Yield and cost analysis of bipolar LSI. IEEE,1967. pp.12-12
    [29]T. Okabe, M. Nagata, S. Shimada. Analysis on yield of integrated circuits and a new expression for the yield. Electrical Engineering in Japan,1972,92 (6): 135-141
    [30]C.H. Stapper. Defect density distribution for LSI yield calculations. Electron Devices, IEEE Transactions on,1973,20(7):655-657
    [31]R.S. Hemmert. Poisson process and integrated circuit yield prediction. Solid-State Electronics,1981,24(6):511-515
    [32]CH Stapper, RJ Rosner. A simple method for modeling VLSI yields. Solid-State Electronics,1982,25 (6):487-489
    [33]C.H. Stapper. The defect-sensitivity effect of memory chips. Solid-State Circuits, IEEE Journal of,1986,21 (1):193-198
    [34]A. Turley, D. Herman. LSI yield projections based upon test pattern results: an application to multilevel metal structures. Parts, Hybrids, and Packaging, IEEE Transactions on,1974,10 (4):230-234
    [35]O. Paz, T.R. Lawson. Modification of Poisson statistics:Modeling defects induced by diffusion. Solid-State Circuits, IEEE Journal of,1977,12 (5):540-546
    [36]MA Mitchell. Defect test structures for characterization of VLSI technologies. Solid State Technology,1985,28 (5):207
    [37]R.D. Rung. Determining IC layout rules for cost minimization. Solid-State Circuits, IEEE Journal of.1981,16 (1):35-43
    [38]C.H. Stapper, F.M. Armstrong. K. Saji. Integrated circuit yield statistics. Proceedings of the IEEE,1983,71(4):453-470
    [39]C. Stapper. Yield model for 256K RAMs and beyond. IEEE,1982. pp.12-13
    [40]GE Moore. What level of LSI is best for you? Electronics,1970,43 (4): 126-130
    [41]RM Warner Jr. A note on IC-yield statistics. Solid-State Electronics,1981. 24(11):1045-1047
    [42]T. Yanagawa. Yield degradation of integrated circuits due to spot defects. Electron Devices, IEEE Transactions on,1972,19 (2):190-197
    [43]A. Gupta, WA Porter, J.W. Lathrop. Defect analysis and yield degradation of integrated circuits. Solid-State Circuits, IEEE Journal of,1974,9 (3):96-102
    [44]AV Ferris-Prabhu, LD Smith, HA Bonges, et al. Radial yield variations in semiconductor wafers. IEEE Circuits and devices magazine,1987,3 (2):42-47
    [45]D.M.H. Walker. Yield simulation for integrated circuits. Computer Science Department,1986, Ph.D.:138-139
    [46]D.M.H. Walker. Yield simulation for integrated circuits. Springer.1987. 158-160
    [47]S. Gandemer, B.C. Tremintin, J.J. Charlot. Critical area and critical levels calculation in IC yield modeling. Electron Devices, IEEE Transactions on,1988,35 (2):158-166
    [48]C.H. Stapper. LSI yield modeling and process monitoring. IBM Journal of Research and Development,1976.20 (3):228-234
    [49]Steve Perry, Mike Mitchell, D. Pilling. Yield analysis modeling. Proceedings of the 22nd ACM/IEEE Design Automation Conference,1985,:425-428
    [50]R.P. Cenker, DG Clemons, WR Huber, et al. A fault-tolerant 64K dynamic random-access memory. Electron Devices, IEEE Transactions on,1979,26 (6) 853-860
    [51]M. Rencher, F. Schellenburg. Why interconnect and lithography modeling impacts yield. EE Design (http://i.cmpnet.com/eedesign/2003/inside_eedesign6.pdf), 2003,:23-27
    [52]C.H. Stapper. Modeling of defects in integrated circuit photolithographic patterns. IBM Journal of Research and Development,1984.28 (4):461-475
    [53]AV Ferris-Prabhu. Modeling the critical area in yield forecasts. Solid-State Circuits, IEEE Journal of,1985,20 (4):874-878
    [54]A.V. Ferris-Prabhu. Role of defect size distribution in yield modeling. Electron Devices, IEEE Transactions on,1985,32 (9):1727-1736
    [55]C.H. Stapper. Modeling of integrated circuit defect sensitivities. IBM Journal of Research and Development,1983,27(6):549-557
    [56]TR Lawson Jr. A prediction of the photoresist influence on integrated circuit yield. Solid State Technology,1966,7:22
    [57]W. Maly, J. Deszczka. Yield estimation model for VLSI artwork evaluation. Electronics Letters,1983,19(6):226-227
    [58]W. Maly. Modeling of lithography related yield losses for CAD of VLSI circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1985,4(3):166-177
    [59]S. Majoni, I. Englard. Enhancing yield and productivity with process control applications for contact and via module.2003. pp.255
    [60]M. Rencher, G. Allan. GDSII yield signoff methods. EE Design (http://i. cmpnet. com/eedesign/2003/inside_eedesign6. pdf),2003,:28-35
    [61]C.H. Ouyang, W.A. Pleskacz, W. Maly. Extraction of critical areas for opens in large VLSI circuits. IEEE,1996. pp.21-29
    [62]J. Pineda de Gyvez, C. Di. IC defect sensitivity for footprint-type spot defects. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1992,11 (5):638-658
    [63]S.R. Jeffrey. Extraction of breaks in rectilinear layouts by plane sweeps. 1994,
    [64]G.A. Allan, A.J. Walton. Efficient extra material critical area algorithms. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 1999,18(10):1480-1486
    [65]S.T. Zachariah, S. Chakravarty, C.D. Roth. A novel algorithm to extract two-node bridges. ACM,2000. pp.790-793
    [66]H. Walker, S.W. Director. VLASIC:A catastrophic fault yield simulator for integrated circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1986,5(4):541-556
    [67]D.M.H. Walker. Critical area analysis. IEEE,1992. pp.281-290
    [68]Q. Su. S. Sinha. C.C. Chiang. Fast evaluation of average critical area for IC layouts.2008,
    [69]E. Papadopoulou, DT Lee. Critical area computation via Voronoi diagrams. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 1999,18(4):463-474
    [70]E. Papadopoulou. Critical area computation for missing material defects in VLSI circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2001,20 (5):583-597
    [71]E. Papadopoulou. Higher order Voronoi diagrams of segments for VLSI critical area extraction. Algorithms and Computation,2007,:716-727
    [72]E. Papadopoulou. The higher order Hausdorff Voronoi diagram and VLSI critical area extraction for via-blocks.2008. pp.181-191
    [73]E. Papadopoulou, DT Lee. The L~∞ Voronoi Diagram of Segments and VLSI Applications. International Journal of Computational Geometry and Applications,2001,11 (5):503-528
    [74]R.L. Scheaffer, W. Mendenhall Ⅲ, R.L. Ott, et al. Elementary survey sampling. Duxbury Pr.2011.
    [75]G.A. Allan. Yield prediction by sampling IC layout. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2000,19 (3): 359-371
    [76]S.C. Braasch, J. Hibbeler, R.N. Kanj, et al. Method and system for analyzing an integrated circuit based on sample windows selected using an open deterministic sequencing technique.2007, (US20090031263)
    [77]D.A. Divekar, R.I. Dowell. Corner stitching:a data-structuring technique for VLSI layout tools. IEEE Transactions on Computer-Aided Design,1984,3 (1):87
    [78]J.B. Rosenberg. Geographical data structures compared:A study of data structures supporting region queries. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1985,4(1):53-67
    [79]L. Weyten, W. De Pauw. Quad list quad trees:a geometrical data structure with improved performance for large region queries. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1989,8 (3):229-233
    [80]A. Pitaksanonkul, S. Thanawastien, C. Lursinsap. Comparisons of quad trees and 4-D trees:new results [VLSI layout]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1989,8 (11):1157-1164
    [81]G. Kedem. The quad-CIF tree:A data structure for hierarchical on-line algorithms. IEEE,1982. pp.352-357
    [82]R.L. Brown. Multiple storage quad trees:A simpler faster alternative to bisector list quad trees. Computer-Aided Design of Integrated Circuits and Systems. IEEE Transactions on,1986,5 (3):413-419
    [83]G.G. Lai, D. Fussell, DF Wong. HV/VH trees:a new spatial data structure for fast region queries. IEEE,1993. pp.43-47
    [84]C.J. Alpert, D.P. Mehta, S.S. Sapatnekar. Handbook of algorithms for physical design automation. Auerbach Publications.2008.55-69
    [85]D.P. Mehta, S. Sahni. Handbook of data structures and applications. CRC Press.2005.1046-1063
    [86]M. Nandakumar, A. Chatterjee, S. Sridhar, et al. Shallow trench isolation for advanced ULSI CMOS technologies. IEEE,1998. pp.133-136
    [87]L. Xinfu, L. Kheeyong, W. Zhihua, et al. A study of inverse narrow width effect of 65nm low power CMOS technology. IEEE,2008. pp.1138-1141
    [88]R.V. Wang, Y.H. Lee, Y.L.R. Lu, et al. Shallow trench isolation edge effect on random telegraph signal noise and implications for flash memory. Electron Devices, IEEE Transactions on,2009,56 (9):2107-2113
    [89]A.S. Zoolfakar, K.A.A. Rahman, A.M. Zain, et al. The elimination of poly stringer in double poly AMS technology development. IEEE,2006. pp.517-519
    [90]J. Kuei, Y. Weng. Yield impact from physical design at advanced technology nodes. IEEE,2007. pp.1104-1109
    [91]EC Low, LH An, YN Hua. Failure analysis and elimination of poly residues contamination in wafer fabrication. IEEE,2000. pp.44-48
    [92]J. Kuei, Y. Weng, J. Li, et al. Manufacturing yield ramp-up methodology for advanced technology. IEEE,2006. pp.501-504
    [93]J. Ren, W. Pan, Y. Zheng, et al. Array based HV/VH tree:an effective data structure for layout representation. Journal of Zhejiang University-SCIENCE,2011, 2012:02-08
    [94]BF Fitzgerald, EP Thoma. Circuit implementation of fusible redundant addresses on RAMs for productivity enhancement. IBM Journal of Research and Development,1980,24(3):291-298
    [95]Y. Naruke, T. Iwase, M. Takizawa, et al. A 16 Mb mask ROM with programmable redundancy. IEEE,1989. pp.128-129
    [96]S. Kikuda, H. Miyamoto, S. Mori, et al. Optimized redundancy selection based on failure-related yield model for 64-Mb DRAM and beyond. Solid-State Circuits, IEEE Journal of,1991,26(11):1550-1555
    [97]I. Fukushi, Y. Okajima, Y.Maki, et al. A 256Kb ECL RAM with Redundancy. IEEE,1988. pp.134 [98] B. Joshi, RK Anand, C. Berg, et al. A BiCMOS 50 MHz cache controller for a superscalar microprocessor. IEEE,1992. pp.110-111,258
    [99]C.L. Wey, T.Y. Chang,J. Ding. Design of fault-diagnosable and repairable folded PLAs for yield enhancement. Solid-State Circuits, IEEE Journal of,1991.26 (1):54-57
    [100]GH Teepe, WL Engl. A bipolar correlator with redundancy. Solid-State Circuits, IEEE Journal of,1987,22 (6):1190-1195
    [101]T.L. Michalka, R.C. Varshney, J.D. Meindl. A discussion of yield modeling with defect clustering, circuit repair, and circuit redundancy. Semiconductor Manufacturing, IEEE Transactions on,1990,3 (3):116-127
    [102]J. Khare, D.B.I. Feltham, W. Maly. Accurate estimation of defect-related yield loss in reconfigurable VLSI circuits. Solid-State Circuits, IEEE Journal of,1993, 28(2):146-156
    [103]J.D. Segal, S. Bakarian, J.E. Colburn, et al. Determining redundancy requirements for memory arrays with critical area analysis. IEEE,1999. pp.48-53
    [104]任杰,郑勇军,马铁中,史峥,严晓浪.一种利用有效面积来建立记忆体电路的成品率模型的方法.2008,(200710157002.1)

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700