基于片上天线的高频全局时钟无线分布关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
当前,随着CMOS工艺尺寸的逐步缩小和芯片集成度的大幅提高,有线互连在延时、功耗等方面遭遇巨大挑战,已接近互连金属材质的极限。为解决这类问题,使用片上天线和电磁波进行传输通信的无线互连技术应运而生。这给日益困难的微处理器时钟分布带来了新的实现途径,采用无线互连方式进行时钟分布具有延时小、功耗低、节省金属资源且不需要调制机制等优点,不仅可解决当今微处理器的时钟分布问题,还可快速评估无线互连技术对未来IC发展的潜力。本文针对微处理器时钟分布的发展趋势和面临的挑战,基于片上天线的特性研究和无线互连技术,提出了高频全局时钟无线分布的改进系统结构及若干关键技术,采用CMOS工艺设计实现了无线时钟分布系统的关键电路模块,并进行了模拟实验验证。本文的主要工作和创新点如下:
     1.提出了一种面向微处理器的全局时钟无线分布系统的改进结构,包括片上折叠偶极天线对、时钟产生电路、接收放大及分频电路等。采用PLL产生高频全局时钟,保证了整个无线时钟分布系统的抖动性能。这种结构由于对片上天线进行了折叠处理,有效地提高了芯片的面积利用率。和传统的有线时钟分布系统相比,这种结构的无线时钟分布具有延时低、由工艺变异引起的静态偏斜与抖动小、与低频数字电路之间的相互影响小、不占用大量金属互连线资源等诸多优点。
     2.提出了改进片上天线传输增益的新技术,即在硅衬底和金属散热器之间插入一层薄的金刚石介质材料,使片上天线对的传输增益在较宽的频率范围内有了大幅提高。为了能在实际的无线互连系统中预测天线的传播性能,提出了基于金刚石介质的电磁波修正传播模型和可能的传播路径,并对引入介质前后的电磁波传播路径进行了对比和解释说明。以2mm长、30μm宽的片上线性偶极天线作为实验对象,使用HFSS模拟验证了所提新技术的正确性和有效性。同时研究了无线互连应用中集成天线的特性对于衬底电阻率、金刚石厚度、天线对间距以及插入不同介质材料等因素的依赖关系,得出了薄的金刚石介质和高电阻率的衬底对提高天线增益有利。
     3.提出了片上天线对传输增益大小及相位在金属干扰环境下的若干线性经验公式,并归纳总结了一套适用于无线互连的片上天线设计规则。由于片上天线并非工作于孤立的环境中,其周围可能存在各种各样的金属干扰源。本文对影响片上天线的芯片内金属结构和布局进行了划分,定性分析了金属互连线、电源网格、散热与封装金属以及金属哑单元(Dummy Fills)对片上偶极天线工作特性的影响,使用三维电磁场软件HFSS对集成偶极天线的传输增益、相位、阻抗及辐射特性所受各种类型的金属干扰进行了全面模拟与分析,得出的经验公式和设计规则可用来指导片上天线的设计和版图规划。
     4.采用0.18μm CMOS工艺设计实现了高性能锁相环、低噪声放大器和8:1分频器等关键电路模块。锁相环采用LC型振荡器实现,模拟相位噪声在3MHz频偏处达–116dBc/Hz,能够提供高质量的无线时钟发射源。面向超宽带(Ultra-Wide-Band, UWB)应用和无线时钟分布接收器分别设计实现了两款低噪声放大器:UWB LNA和无线时钟分布LNA。前者采用共栅共源级联结构在1.5GHz~5GHz频率范围内获得了几乎恒定的功率增益,后者将容性交叉耦合技术引入到高频(大于10GHz)低噪声放大器的设计中来,较好地改进了低噪声放大器的增益、噪声和线性度性能。此外,无线时钟分布LNA和片上接收天线之间还实现了阻抗共轭匹配,从而获得最优的功率传输和系统性能。8:1分频器采用源耦合逻辑(Source Coupled Logic, SCL)实现,能够快速、准确地对无线接收到的全局时钟进行分频,工作频率高达17GHz。
     5.基于相位合成的思想提出并实现了一套局部时钟50%占空比调节机制。调节电路模块全部采用纯数字方式实现。使用同步镜像延迟(Synchronous Mirror Delay, SMD)技术具有较强的抗工艺、电压和温度变化的能力,消除了其它调节方法中复杂的反馈环路,进一步提高了调节性能。模拟实验结果表明,该调节机制对占空比在10%~90%范围内的输入时钟能在4个时钟周期内完成调节,输出时钟占空比为50%±2%。
     综上所述,本文将基于片上天线的无线互连技术应用于微处理器时钟分布,设计实现了一种全局时钟无线分布系统。模拟结果表明,11GHz的全局时钟通过无线传输、接收与八分频后得到1.375GHz的局部时钟,模拟的偏斜和抖动性能都较为理想。本文的研究成果对于推进无线互连应用和新型时钟分布技术的发展具有一定的理论价值和工程实践意义。
Nowadays, as CMOS technology scales gradually and chip integration grows rapidly, wired interconnection encounters great challenges in terms of delay and power consumption, which almost reaches the intrinsic limitations of metal materials. To solve these problems, wireless interconnect using on-chip antennas and electromagnetic waves for communication begins to emerge accordingly. Clock distribution implemented by wireless interconnect has the advantage of low delay and power consumption, saving metal and no need for modulation scheme, which turns to be a new solution for increasingly difficult clock distribution for microprocessors in the future. Therefore, wireless clock distribution not only handles clock distribution problem of microprocessors, but also can be possible to rapidly evaluate the potential of wireless interconnect for the developments of integrated circuits (ICs) in the future.
     According to the trend and challenges of clock distribution for microprocessors, an improved configuration for wireless distribution of high frequency global clock along with several key techniques are proposed in this thesis, based on the investigations of on-chip antenna characteristics and wireless interconnect technique. The critical components of wireless clock distribution system are also designed and realized in CMOS technology. Simulations are made to verify the whole proposed wireless clock distribution system. The main research achievements and innovations described in this thesis are summarized as follows:
     1. A modified configuration of wireless global clock distribution system for microprocessors is proposed, which consists of folded on-chip antenna pair, clock generation and receiving circuits as well as frequency divider. Phase-locked loop (PLL) is used to generate high frequency global clock, which ensures the performance of the whole wireless clock distribution system. This structure utilizes chip area effectively due to the folded on-chip antennas. Compared with conventional wired clock distribution systems, wireless clock distribution system with the proposed configuration has a lot of benefits, such as low delay, low static skew and jitter caused by process variations, less interference with low frequency digital circuits, no occupying metal layers, etc.
     2. A novel technique for improving on-chip antenna transmission gain is proposed. That is to say, a thin diamond layer is inserted between the silicon substrate and metal heat sink, which enhances the transmission gain of on-chip antenna pair greatly in a wide frequency band. In order to make antenna transmission performance predictable in real wireless interconnection systems, a modified electromagnetic wave propagation model involving a diamond layer and possible propagation paths are also proposed and compared with those of none dielectric situation. A 2mm long, 30μm wide linear on-chip dipole antenna pair is chosen for experimental object, simulations using HFSS are performed to verify the correctness and validity of this proposed technique. Meanwhile, the dependences of characteristics of integrated antennas applied for wireless interconnect on substrate resistivity, diamond thickness, antenna pair separation and dielectric variety have been studied. It is concluded that thinner diamond layer and higher resistivity substrate are helpful to improve antenna gain.
     3. Several experiential linear formulas for antenna pair gain and phase in interfering circumstances are proposed, and a set of design rules is concluded accordingly for on-chip antennas targeting wireless interconnections. As on-chip antennas do not operate in isolated surroundings, instead, various metal interfering sources possibly reside around them. In this thesis, these metal structures and placements are first classified, then the impacts of on-chip metal connective lines, power grids, heat sink along with packaging metals, and metal dummy fills on integrated dipole antenna characteristics have been investigated with qualitative analysis. Extensive simulations are performed by three-dimensional electromagnetic software HFSS to explore the interfering effects of various neighboring metal structures on the transmission gain, phase, impedance and radiation pattern for on-chip dipole antennas. Obtained experiential formulas and design rules can be used for guiding on-chip antenna design and its layout planning.
     4. Key circuits of wireless clock distribution such as high performance phase-locked loop (PLL), low noise amplifier (LNA) and 8:1 frequency divider have been designed and implemented in a 0.18μm CMOS process. PLL is realized using a LC oscillator, which can generate high frequency global clock with fine quality. The simulated phase noise achieves–116dBc/Hz at 3MHz frequency offset. A LNA for ultra-wide-band (UWB) application and a LNA for high frequency wireless clock distribution receiver have been both designed and realized. The former LNA employing common gate (CG) and common source (CS) stages achieves nearly constant power gain during 1.5GHz–5GHz, while the capacitive cross-coupling technique is introduced in the latter LNA for high frequency (above 10GHz) LNA design, remarkably improving LNA performance including gain enhancement, noise and nonlinearity reduction. Additionally, impedance conjugate matching is performed between the wireless clock receiver LNA and on-chip antennas. Therefore, optimal power transmission and overall performance are obtained. The 8:1 frequency divider is realized by source coupled logic (SCL), which can divide the received global clock rapidly and exactly. The maximum operation frequency of the proposed divider is up to 17GHz.
     5. A 50% duty-cycle correction mechanism for local clock is proposed and implemented based on phase-blending. All circuits are realized in a purely digital manner. Higher reliability is achieved against process, voltage and temperature (PVT) variations due to the utilization of the synchronous mirror delay (SMD) technique. Moreover, the proposed correction mechanism eliminates complicated feedback loops often used in other correction methods, which further improves the correction capability. Experimental simulation results indicate that the correction operation finishes in only 4 clock cycles when the duty-cycle of input clock ranges from 10% to 90%, and the output local clock duty-cycle is 50%±2%.
     In summary, the wireless interconnect technique based on integrated antennas has been applied for clock distribution in microprocessors, and a wireless global clock distribution system is designed and implemented in this thesis. Simulated results show that the generated 11GHz global clock is first transmitted and received by on-chip antennas, then divided by eight to become 1.375GHz local clock with excellent skew and jitter performance. The achievements presented in this thesis have academic and practical values for advancing wireless interconnect applications and the developments of novel clock distribution techniques.
引文
[1] Fahim Amr M.. Clock Generators for SoC Processors: Circuits and Architecture[M]. London: Kluwer Academic Publishers, 2005.
    [2] C. J. Anderson. Physical Design of a Forth-Generation Power GHz Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference Dig. Tech. Papers, 2001:232~233.
    [3] Behzad Razavi. Design of Analog CMOS Integrated Circuits[M]. Beijing: Tsinghua University Press, 2005.
    [4] T. Fischer, F. Anderson, B. Patella, et al. A 90nm Variable-Frequency Clock System for a Power-Managed Itanium-Family Processor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2005:294~296.
    [5] The International Technology Roadmap for Semiconductors: 2005 Edition[EB/OL]. http://public.itrs.net/Files/2005ITRS/Home2005.htm.
    [6] Brian A. Floyd. A CMOS Wireless Interconnect System for Multigigahertz Clock Distribution[D]. Ph.D. Thesis, University of Florida, 2001.
    [7] Ran Li. A Wireless Clock Distribution System Using an External Antenna[D]. Ph.D. Thesis, University of Florida, 2005.
    [8] Xiaoling Guo. CMOS Intra-Chip Wireless Clock Distribution[D]. Ph.D. Thesis, University of Florida, 2005.
    [9] D. W. Bailey and B. J. Benschneider. Clocking Design and Analysis for a 600-MHz Alpha Microprocessor[J]. IEEE Journal of Solid-State Circuits, 1998, 33(11):1627~1633.
    [10] B. A. Gieseke. A 600MHz Superscalar RlSC Microprocessor with Out of Order Execution[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 1997:176~177.
    [11] F. E. Anderson, J. S. Wells and E. Z. Berta. The Core Clock System on the Next Generation Itanium Microprocessor[C]. IEEE International Solid-State Circuits Conference(ISSCC), 2002:110~112.
    [12] P. Mahoney, E. Fetzer, B. Doyle, et al. Clock Distribution on a Dual-Core, Multi-Threaded Itanium-Family Processor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, CA, 2005, 1:292~599.
    [13] Abinash Roy and Masud H. Chowdhury. RF/Wireless Interconnects in Future On-Chip and Board-Level Clock Distribution Network[C]. In the Proceedings of IEEE EIT, 2007:542~545.
    [14] Eby G. Friedman. Clock Distribution Networks in Synchronous Digital Integrated Circuits[C]. In the Proceedings of the IEEE, 2001, 89(5):665~692.
    [15] M. Shoji. Elimination of process-dependent clock skew in CMOS VLSI[J]. IEEE Journal of Solid-State Circuits, 1986, SC-21:869~880.
    [16] Mamoru Sasaki. A 9.5GHz 6ps-Skew Space-Filling-Curve Clock Distribution with 1.8V Full-Swing Standing-Wave Oscillators[C]. IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, CA, 2008:518~633.
    [17] K. K. O, K. Kim, B. Floyd, et al. Inter and Intra-chip Wireless Clock Signal Distribution Using Microwaves: A Status of a Feasibility Study[C]. In the Proceedings of Government Microcircuit Applications Conference Dig. Papers, 1999:306~309.
    [18] Brian Floyd, Kihong Kim and Kenneth O. Wireless Interconnection in a CMOS IC with Integrated Antennas[C]. IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, 2000:328~329.
    [19] Brian A. Floyd, Chih-Ming Hung and Kenneth K. O. Intra-Chip Wireless Interconnect for Clock Distribution Implemented With Integrated Antennas, Receivers, and Transmitters[J]. IEEE Journal of Solid-State Circuits, 2002, 37(5):543~552.
    [20] Qing K. Zhu. High-Speed Clock Network Design[M]. Boston: Kluwer Academic Publishers, 2003.
    [21] Masami Kihara, Sadayasu Ono and Pekka Eskelinen. Digital Clocks for Synchronization and Communications[M]. Boston: Artech House, 2003.
    [22]冀蓉.基于非平衡时钟通路延时策略的一体化时钟偏斜调整技术研究[D].博士学位论文,长沙:国防科学技术大学研究生院,2009.
    [23] N. H. E Weste. CMOS VLSI Design: A Circuits and Systems Perspective (Third Edition)[M]. Pearson Education Press, 2005.
    [24] Lenzen C., Locher T. and Wattenhofer R. Clock Synchronization with Bounded Global and Local Skew[C]. 49th Annual IEEE Symposium on Foundations of Computer Science, 2008:509~518.
    [25] Jari Nurmi. Processor Design: System-on-Chip Computing for ASICs and FPGAs[M]. Berlin: Springer, 2007.
    [26] Jan M. Rabaey, Anantha Chandrakasan and Borivoje Nikolic. Digital Integratd Circuits: A Design Perspective (Second Edition)[M]. Pearson Edition Press, 2003.
    [27] Houman Zarrabi, Haydar Saaied, Al-Khalili A. J., et al. Zero Skew Differential Clock Distribution Network[C]. In the Proceedings of IEEE International Symposium on Circuits and Systems, 2006:77~80.
    [28] Chia-Ming Chang, Shih-Hsu Huang and Yuan-Kai Ho. Type-Matching Clock Tree for Zero Skew Clock Gating[C]. 45th IEEE/ACM Design Automation Conference, 2008:714~719.
    [29] Yamashita T., Fujimoto T. and Ishibashi K.. A Dynamic Clock Skew Compensation Circuit Technique for Low Power Clock Distribution[C]. IEEE International Conference on Integrated Circuit Design and Technology, 2005:7~10.
    [30] M. Omana, D. Rossi and C. Metra. Low Cost Scheme for On-Line Clock Skew Compensation[C]. In the Proceedings of IEEE VLSI Test Symposium, 2005:90~95.
    [31] H.-Y. Hsieh, W. Liu, M. Clements, et al. Self-Calibrating Clock Distribution with Scheduled Skews[C]. IEEE International Symposium on Circuits and Systems, 1998:470~474.
    [32] H. Sutoh and K. Yamakoshi. A Clock Distribution Technique with an Automatic Skew Compensation Circuit[J]. IEICE Transactions on Electronics, 1998:277~283.
    [33] N. A. Kurd, J. S. Barkatullah, R. O. Dizon, et al. A Multi-GHz Clocking Scheme for Pentium 4 Microprocessor[J]. IEEE Journal of Solid-State Circuits, 2001, 36(11):1647~1653.
    [34] C. E. Dike, N. A. Kurd, P. Patra, et al. A Design for Digital Dynamic Clock Deskew[C]. IEEE Symposium on VLSI Circuits Digest of Tech., 2003:21~24.
    [35] Arjun Kapoor, Nikhil Jayakumar and Sunil P. Khatri. Dynamically De-Skewable Clock Distribution Methodology[J]. IEEE Transactions on Very Large Scale Integration Systems, 2008, 16(9):1220~1229.
    [36] Anthony V. Mule, Elias N. Glytsis, Thomas K. Gaylord, et al. Electrical and Optical Clock Distribution Networks for Gigascale Microprocessors[J]. IEEE Transactions on Very Large Scale Integration Systems, 2002, 10(5):582~594.
    [37] T. Xanthopoulos, D. W. Bailey and A. K. Gangwar. The Design and Analysis of the Clock Distribution Network for a 1.2GHz Alpha Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2001:402~403.
    [38] R. Kuppuswamy, K. Callahan, K. Wong, et al. On-Die Clock Jitter Detector for High Speed Microprocessors[C]. IEEE Symposium on VLSI Circuits Design of Technical Papers, 2001:187~190.
    [39] M. Zhao, R. V. Panda and S. S. Sapatnekar. Hierarchical Analysis of Power Distribution Networks[C]. In the Proceedings of Design Automation Conference, 2000:150~155.
    [40] David Duarte and Vijaykrishnan Narayanan. Formulation and Validation of an Energy Dissipation Model for the Clock Generation Circuitry and Distribution Networks[C]. 4th International Conference on VLSI Design, 2001:248~253.
    [41] Nitya Ranganathan and Norman P. Jouppi. Evaluating the Potential of Future On-Chip Clock Distribution Using Optical Interconnects[R]. HP LaboratoriesPalo Alto, 2007:1~11.
    [42] Michael K. Gowan, Larry L. Biro and Daniel B. Jackson. Power Considerations in the Design of the Alpha 21264 Microprocessors[C]. In the Proceedings of Design Automation Conference, 1998:726~731.
    [43] Yan Luo, Jia Yu, Jun Yang, et al. Low Power Network Processor Design Using Clock Gating[C]. In the Proceedings of Design Automation Conference, 2005:712~715.
    [44] Hariyama M., Ishihara S. and Kameyama M. A Low-Power Field-Programmable VLSI Based on a Fine-Grained Power-Gating Scheme[C]. IEEE International 51st Midwest Symposium on Circuits and Systems, 2008:702~705.
    [45] Nedovic N. and Oklobdzija V. G. Dual-Edge Triggered Storage Elements and Clocking Strategy for Low-Power Systems[J]. IEEE Transactions on Very Large Scale Integration Systems, 2005, 13(5):577~590.
    [46] Jatuchai Pangjun and Sachin S. Sapatnekar. Low-Power Clock Distribution Using Multiple Voltages and Reduced Swings[J]. IEEE Transactions on Very Large Scale Integration Systems, 2002, 10(3):309~318.
    [47] H. Kojima, S. Tanaka and K. Sasaki. Half-swing Clocking Scheme for 75% Power Saving in Clocking Circuitry[C]. In the Proceedings of IEEE Symposium on VLSI Circuits, 1994:23~24.
    [48] C. Yeh, G. Wilke and H. Chen. Clock Distribution Architectures: A Comparative Study[C]. In the Proceedings of 7th International Symposium on Quality Electronic Design, 2006:7~91.
    [49] P. J. Restle, C. A. Carter and J. P. Eckhardt. The Clock Distribution of the Power4 Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2002:144~145.
    [50] A. Deutsch, H. Harrer, C. W. Surovic, et al. Functional High-speed Characterization and Modeling of a Six-layer Copper Wiring Structure and Performance Comparison with Aluminum On-chip Interconnections[C]. IEDM Technical Digest., San Francisco, CA, 1998:295~298.
    [51] D. Dobberpuhl, R. Witek, R. Allmon, et al. A 200MHz 64b Dual-Issue CMOS Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 1992:106~107.
    [52] B. J. Benschneider, A. J. Black, W. J. Bowhill, et al. A 300-MHz 64-b Quad-Issue CMOS RISC Microprocessor[J]. IEEE Journal of Solid-State Circuits, 1995, 30(11):1203~1214.
    [53] P. Green. A 1 GHz IA-32 Microprocessor Implemented on 1.18 mm Technology with Aluminum Interconnect[C]. IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, CA, 2000:98~99.
    [54] V. Gutnik and A. P. Chandrakasan. Active GHz Clock Network UsingDistributed PLLs[J]. IEEE Journal of Solid-State Circuits, 2000, 35(11):1553~1560.
    [55] S. C. Chan, K. L. Shepard and P. J. Restle. Design of Resonant Global Clock Distributions[C]. IEEE International Conference on Computer Design, San Jose, CA, 2003:248~253.
    [56] A. K. Jain, W. Anderson and T. Benninghoff. A 1.2GHz Alpha Microprocessor with 44.8GB/s Chip Pin Bandwidth[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2001:240~241.
    [57] S. D. Naffziger and G. Hammond. The Implementation of the Next-Generation 64b ItaniumTM Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2002, 2:276~504.
    [58] J. D. Warnock, J. M. Keaty and J. Petrovick. The Circuit and Physical Design of the Power4 Microprocessor[J]. IBM Journal of Research and Development, 2002, 46(1):27~51.
    [59] N. Bindalet, T. Kelly, N. Velastegui, et al. Scalable Sub-10ps Skew Global Clock Distribution for a 90nm Multi-GHz IA Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2003:346~355.
    [60] J. Clabes, J. Friedrich, M. Sweet, et al. Design and Implementation of the POWER5 Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2004:56~57.
    [61] P. J. Restle, R. L. Franch and N. K. James. Timing Uncertainty Measurements on the Power5 Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC) 2004:354~355.
    [62] S. D. Naffziger, B. Stackhouse and T. Grutkowski. The Implementation of a 2-core Multi-Threaded Itanium-Family Processor[J]. IEEE Journal of Solid-State Circuits, 2006, 41(1):197~209.
    [63] D. Pham, S. Asano and M. Bolliger. The Design and Implementation of a First-Generation CELL Processor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2005:184~592.
    [64] D. C. Pham, T. Aipperspach and D. Boerstler. Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor[J]. IEEE Journal of Solid-State Circuits, 2006, 41(1):179~196.
    [65] S. Tam, S. Rusu and U. Nagarji Desai. Clock Generation and Distribution for the First IA-64 Microprocessor[J]. IEEE Journal of Solid-State Circuits, 2000, 35(11):1545~1552.
    [66] N. A. Kurd, J. S. Barkatullah and R. O. Dizon. Multi-GHz Clocking Scheme for Intel Pentium 4 Microprocessor[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2001:404~405.
    [67] G. Geannopoulos and X. Dai. An Adaptive Digital Deskewing Circuit for Clock Distribution Networks[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 1998:400~401.
    [68]陈亮.时钟偏斜补偿及相位优化技术研究[D].硕士学位论文,长沙:国防科学技术大学研究生院,2005.
    [69] Q. Zhu and S. Tam. Package clock distribution design optimization for high-speed and low power VLSI's[J]. IEEE Transactions on Components Packaging and Manufacturing Technology Part B, 1997, 20:56~63.
    [70] Daehyun Chung, Chunghyun Ryu, Hyungsoo Kim, et al. Chip-Package Hybrid Clock Distribution Network and DLL for Low Jitter Clock Delivery[J]. IEEE Journal of Solid-State Circuits, 2006, 41(1):274~286.
    [71] F. O'Mahony, C. P. Yue, M. A. Horowitz, et al. A 10-GHz Global Distribution Using Coupled Standing-wave Oscillators[J]. IEEE Journal of Solid-State Circuits, 2003, 38(11):1813~1820.
    [72] Mamoru Sasaki, Mitsuru Shiozaki, Atsushi Mori, et al. 17GHz Fine Grid Clock Distribution with Uniform-Amplitude Standing-Wave Oscillator[C]. IEEE Symposium on VLSI Circuits Digest of Technical Papers, 2006:98~99.
    [73] J. Wood, T. C. Edwards and S. Lipa. Rotary Traveling-wave Oscillator Arrays: A New Clock Technology[J]. IEEE Journal of Solid-State Circuits, 2001, 32(11):1654~1665.
    [74] Zhengtao Yu and Xun Liu. Low-Power Rotary Clock Array Design[J]. IEEE Transactions on Very Large Scale Integration Systems, 2007, 15(1):5~12.
    [75] W. Ryu, A.C. Wai, F. Wei, et al. Over GHz Low-power RF Clock Distribution for a Multiprocessor Design System[J]. IEEE Transactions on Advanced Packaging, 2002, 25(1):18~27.
    [76] A. V. Mule, S. M. Schultz, T. K. Gaylord, et al. An Optical Clock Distribution Network for Gigascale Integration[C]. In the Proceedings of IEEE International Interconnect Technology Conference, San Francisco, CA, 2000:6~8.
    [77] S. K. Tewksbury and L. A. Hornak. Optical Clock Distribution in Electric Systems[J]. Journal of VLSI Signal Process, 1997, 16:225~246.
    [78] Daniel Bravo, Hyun Yoon, Kihong Kim, et al. Estimation of the Signal-to-Noise Ratio for On-Chip Wireless Clock Signal Distribution[C]. In the Proceedings of IEEE International Interconnect Technology Conference, 2000:9~11.
    [79] Ran Li, Xiaoling Guo, Dong-Jun Yang, et al. Wireless Clock Distribution System Using an External Antenna[J]. IEEE Journal of Solid-State Circuits, 2007, 42(10):2283~2292.
    [80] Y. P. Zhang, M. Sun and L. H. Guo. On-Chip Antennas for 60-GHz Radios in Silicon Technology[J]. IEEE Transactions on Electron Devices, 2005,52(7):1664~1668.
    [81] Erik ?jefors, Katia Grenier, Laurent Mazenq, et al. Micromachined Inverted F Antenna for Integration on Low Resistivity Silicon Substrates[J]. IEEE Microwave and Wireless Components Letters, 2005, 15(10):627~629.
    [82] Jau-Jr Lin, Xiaoling Guo, Ran Li, et al. 10x Improvement of Power Transmission over Free Space Using Integrated Antennas on Silicon Substrates[C]. IEEE Custom Integrated Circuits Conference(CICC), Orlando, USA, 2004:697~700.
    [83] Jau-Jr Lin, Li Gao, Aravind Sugavanam, et al. Integrated Antennas on Silicon Substrates for Communication Over Free Space[J]. IEEE Electron Device Letters, 2004, 25(4):196~198.
    [84] Kenneth K. O, Kihong Kim, Brian A. Floyd, et al. On-Chip Antennas in Silicon ICs and Their Application[J]. IEEE Transactions on Electron Devices, 2005, 52(7):1312~1323.
    [85] T. Kikkawa, A. B. M. H. Rashid and S. Watanabe. Effect of Silicon Substrate on the Transmission Characteristics of Integrated Antenna[C]. IEEE Topical Conference on Wireless Communication Technology, 2003:144~145.
    [86] Atif Shamim, Langis Roy, Neric Fong, et al. On-Chip Antenna Measurements: Calibration and De-embedding Considerations[C]. Instrumentation and Measurement Technology Conference, Ottawa, Canada, 2005:463~466.
    [87] Alan W. L. Ng, Gerry C. T. Leung, Ka-Chun Kwok, et al. A 1V 24GHz 17.5mW PLL in 0.18μm CMOS[C]. In the Proceedings of IEEE International Solid-State Circuits Conference(ISSCC), 2005:157~159.
    [88] B. A. Floyd. A 16-18.8-GHz Sub-Integer-N Frequency Synthesizer for 60GHz Transceivers[J]. IEEE Journal of Solid-State Circuits, 2008, 43(5):1076~1086.
    [89] Po Yang Chang, Hui-I Wu and Christina F Jou. A 1-V, Low-Power CMOS LNA for Ultra-Wideband Receivers[C]. In the Proceedings of Asia-Pacific Microwave Conference, 2007:1~4.
    [90]池保勇,余志平,石秉学.CMOS射频集成电路分析与设计[M].北京:清华大学出版社,2006.
    [91] PENG Feng-xiong, CHEN Di-ping, ZENG Jian-ping, et al. Design of a New GPS Front-end CMOS Low Noise Amplifier[J]. Chinese Journal of Electron Devices, 2008, 31(4):1177~1179.
    [92] D. K. Shaeffer and T. H. Lee. A 1.5-V, 1.5-GHz CMOS Low Noise Amplifier[J]. IEEE Journal of Solid-State Circuits, 1997, 32(5):745~759.
    [93] A. J. Scholten, L. F. Tiemeijer, R. Van Langevelde, et al. Noise Modeling for RF CMOS Circuit Simulation[J]. IEEE Transactions on Electron Devices, 2003, 50(3):618~632.
    [94] H L Kao and K C Changa. Very Low-power CMOS LNA for UWB Wireless Receivers Using Current-reused Topology[J]. IEEE Solid-State Electronics, 2008, 52(1):86~90.
    [95] Zi. Hao. Hsiung, Hui-I Wu and Christina. F. Jou. Novel Wideband CMOS LNA with Only an Inductor as Input Matching Network[C]. IEEE Region 10 Conference, 2007:1~3.
    [96] Wei-Hung Chen, Gang Liu, Boos Zdravko, et al. A Highly Linear Broadband CMOS LNA Employing Noise and Distortion Cancellation[J]. IEEE Journal of Solid-State Circuits, 2008, 43(5):1164~1176.
    [97] Yo-Sheng Lin, Chang-Zhi Chen, Hong-Yu Yang, et al. Analysis and Design of a CMOS UWB LNA With Dual-RLC-Branch Wideband Input Matching Network[J]. IEEE Transactions on Microwave Theory and Techniques, 2010, 58(2):287~296.
    [98] Thomas H. Lee. The Design of CMOS Radio-Frequency Integrated Circuits[M]. Publishing House of Electronics Industry, 2006.
    [99] L. M. Franca-Neto, B. A. Bloechel and K. Soumyanath. 17GHz and 24GHz LNA Designs Based on Extracted-S-parameter with Microstrip-on-die in 0.18μm Logic CMOS Technology[C]. IEEE European Solid-State Circuits Conference, Krakow, Poland, 2003:149~153.
    [100] X. Guan and A. Hajimiri. A 24GHz CMOS Front-End[J]. IEEE Journal of Solid-State Circuits, 2004, 39:368~373.
    [101] K.-W. Yu, Y.-L. Lu, D. Huang, et al. 24 GHz Low-noise Amplifier in 0.18μm CMOS Technology[J]. Electronics Letters, 2003, 39(22):1559~1560.
    [102] Kyung-Wan Yu, Yin-Lung Lu, Daquan Huang, et al. A 26 GHz Low-Noise Amplifier in 0.18μm CMOS Technology[C]. IEEE International Symposium on Electron Devices for Microwave and Optoelectronic Applications, Orlando, FL, 2003:93~98.
    [103] Xiaoling Guo and Kenneth K. O. A Power Efficient Differential 20-GHz Low Noise Amplifier With 5.3-GHz 3-dB Bandwidth[J]. IEEE Microwave and Wireless Components Letters, 2005, 15(9):603~605.
    [104] Moncef Kadi, Fabien Ndagijimana and Jo?l Dansou-Eloy. Printed Dipoles Antennas for MCM-L Wireless RF Interconnects[J]. IEEE Transactions on Antennas and Propagation, 2008, 56(1):223~230.
    [105] A. Triantafylou, F. Ndagijimana, Ph. Benech, et al. Integrated Antennas for Wireless Interconnect and Electromagnetic Interference[C]. IEEE 14th Mediterranean Electrotechnical Conference, Ajaccio, France, 2008:416~420.
    [106] Paul H. Park and S. Simon Wong. An On-Chip Dipole Antenna for Millimeter-Wave Transmitters[C]. IEEE Symposium on Radio Frequency Integrated Circuits, Atlanta, USA, 2008:629~632.
    [107] Timothy Dickson, Brian Floyd and Kenneth O. Jitter in a Wireless Clock Distribution System[C]. In the Proceedings of IEEE International Interconnect Technology Conference, 2002:154~156.
    [108] Brian A. Floyd and Kenneth K. O. The Projected Power Consumption of a Wireless Clock Distribution System and Comparison to Conventional Distribution Systems[C]. In the Proceedings of IEEE International Interconnect Technology Conference, 1999:248~250.
    [109] Kuan-Neng Chen, Mauro J. Kobrinsky, Brandon C. Barnett, et al. Comparisons of Conventional, 3-D, Optical, and RF Interconnects for On-Chip Clock Distribution[J]. IEEE Transactions on Electron Devices, 2004, 51(2):233~239.
    [110] K. -H. Kim. Design and Characterization of RF Components for Inter- and Intra-chip Wireless Communication[D]. Ph.D. Thesis, University of Florida, 2000.
    [111] Mei Sun, Yue Ping Zhang, Guo Xin Zheng, et al. Performance of Intra-Chip Wireless Interconnect Using On-Chip Antennas and UWB Radios[J]. IEEE Transactions on Antennas and Propagation, 2009, 57(9):2756~2762.
    [112] Eunyoung Seok and Kenneth K. O. The Impact of Power Grid to the Performance of On-chip Antennas[C]. In the Proceedings of IEEE International Symposium on Antennas and Propagation, Washington D.C., 2005:532~535.
    [113] Eunyoung Seok and Kenneth K. O. Design Rules for Improving Predictability of On-chip Antenna Characteristics in the Presence of Other Metal Structures[C]. In the Proceedings of IEEE International Interconnect Technology Conference, Burlingame, USA, 2005:120~122.
    [114] Nobuo Sasaki, Kentaro Kimoto, Wataru Moriyama, et al. A Single-Chip Ultra-Wideband Receiver With Silicon Integrated Antennas for Inter-Chip Wireless Interconnection[J]. IEEE Journal of Solid-State Circuits, 2009, 44(2):382~393.
    [115] Andrea Bevilacqua, Christoph Sandner, Andrea Gerosa, et al. A Fully Integrated Differential CMOS LNA for 3–5-GHz Ultrawideband Wireless Receivers[J]. IEEE Microwave and Wireless Components Letters, 2006, 16(3):134~136.
    [116] Muhammad Arsalan, Atif Shamim, Langis Roy, et al. A Fully Differential Monolithic LNA With On-Chip Antenna for a Short Range Wireless Receiver[J]. IEEE Microwave and Wireless Components Letters, 2009, 19(10):674~676.
    [117] M. Tiebout. A CMOS direct injection-locked oscillator topology as high-frequency low-power frequency divider[J]. IEEE Journal of Solid-State Circuits, 2004, 39(7):1170~1174.
    [118] C. A. Balanis. Antenna Theory: Analysis and Design (Second Edition)[M]. New York: Wiley, 1997:133~164.
    [119] A. B. M. H. Rashid, S. Watanabe and T. Kikkawa. High Transmission GainIntegrated Antenna on Extremely High Resistivity Si for ULSI Wireless Interconnect[J]. IEEE Electron Device Letters, 2002, 23(12):731~733.
    [120] F. Ulaby. Applied Electromagnetics, Upper Saddle River[M]. New Jersey: Prentice Hall, 2001.
    [121] K. Kimoto, N. Sasaki, M. Nitta, et al. Inter-chip Transmission Characteristics of Si On-chip Integrated Antennas[C]. IEEE International Symposium on Antennas and Propagation Society, Hawaii, USA, 2007:2514~2517.
    [122] Changhua Cao, Yanping Ding, Xiuge Yang, et al. A 24-GHz Transmitter With On-Chip Dipole Antenna in 0.13-μm CMOS[J]. IEEE Journal of Solid-State Circuits, 2008, 43(6):1394~1402.
    [123] Kihong Kim and Kenneth K. O. Integrated Dipole Antennas on Silicon Substrates for Intra-Chip Communication[C]. In the Proceedings of IEEE International Symposium on Antennas and Propagation, Orlando, USA, 1999:1582~1585.
    [124] Kenneth C. L. CHAN, Xuejun TIAN and Yi HUANG. Integrated Antenna for Future Wireless Communication Applications[C]. 2rd European Conference on Antennas and Propagation, Edinburgh, UK, 2007:1~4.
    [125] Mohammad Reza Nezhad Ahmadi, Safieddin Safavi-Naeini and Lei Zhu. An Efficient CMOS On-Chip Antenna Structure for System in Package Transceiver Applications[C]. Radio and Wireless Symposium, California, USA, 2007:487~490.
    [126] Su Qingfeng, Xia Yiben, Wang Linjun, et al. Growth and Electrical Properties of (100)-Oriented CVD Diamond Films[J]. Chinese Journal of Semiconductors, 2005, 26(5):947~951.
    [127] Kihong Kim, Hyun Yoon and K. K. O. On-Chip Wireless Interconnection with Integrated Antennas[C]. In the Proceedings of IEEE International Electron Devices Meeting, 2000:485~488.
    [128] K. K. O, K. Kim, B. Floyd, et al. The Feasibility of On-Chip Interconnection Using Antennas[C]. In the Proceedings of IEEE/ACM International Conference on Computer-Aided Design, 2005:976~981.
    [129] A. B. M. H. Rashid, S. Watanabe and T. Kikkawa. Crosstalk Isolation of Monopole Integrated Antenna on Si for ULSI Wireless Interconnect[C]. In the Proceedings of IEEE International Interconnect Technology Conference, 2003:156~158.
    [130] B. T. Jiang, J. F. Mao and W. Y. Yin. An Efficient Ladder Reflector Antenna for Interchip Communications[J]. IEEE Antennas and Wireless Propagation Letters, 2008, 7:777~780.
    [131] A. B. M. Harun-ur Rashid, S. Watanabe and T. Kikkawa. Interference Suppression of Wireless Interconnection in Si Integrated Antenna[C]. In theProceedings of IEEE International Interconnect Conference, 2002:173~175.
    [132] J. Branch, X. Guo, L. Gao, et al. Wireless Communication in a Flip-Chip Package Using Integrated Antennas on Silicon Substrates[J]. IEEE Electron Device Letters, 2005, 26(2):115~117.
    [133] Hyun Yoon, Kihong Kim and K. K. O. Interference Effects on Integrated Dipole Antennas by a Metal Cover for an Integrated Circuit Package[C]. In the Proceedings of IEEE AP-S International Symposium on USNC/URSI National Radio Science Meeting, 2000:782~785.
    [134] Geum-Young Tak, Seok-Bong Hyun, Tae Young Kang, et al. A 6.3-9-GHz CMOS Fast Settling PLL for MB-OFDM UWB Applications[J]. IEEE Journal of Solid-State Circuits, 2005, 40(8):1671~1679.
    [135] M. Pelissier- F., Demeestere- F., Hameau- D., et al. LNA-Antenna Codesign for UWB Systems[C]. In the Proceedings of IEEE International Symposium on Circuits and Systems, 2006:4711~4714.
    [136] Brian A. Floyd, Xiaoling Guo, James Caserta, et al. Wireless Interconnects for Clock Distribution[C]. In the Proceedings of IEEE International Interconnect Technology Conference, 2002:105~108.
    [137] Trung-Kien Nguyen, Chung-Hwan Kim, Gook-Ju Ihm, et al. CMOS Low-Noise Amplifier Design Optimization Techniques[J]. IEEE Transactions on Microwave Theory and Techniques, 2004, 52(5):1433~1442.
    [138] Chunyu Xin and Edgar S′anchez-Sinencio. A Linearization Technique for RF Low Noise Amplifier[C]. In the Proceedings of IEEE International Symposium on Circuits and Systems, 2004:313~316.
    [139] Robert Eye and Donald Allen. 77 GHz Low Noise Amplifier for Automotive Radar Applications[C]. IEEE Gallium Arsenide Integrated Circuit Symposium Technical Digest, 2003:139~142.
    [140] H. Samavati, H. R. Rategh and T. H. Lee. A 5-GHz CMOS wireless LAN receiver front end[J]. IEEE Journal of Solid-State Circuits, 2000, 35:765~772.
    [141] H. Hashemi and A. Hajimiri. Concurrent multiband low-noise amplifiers–Theory, design, and applications[J]. IEEE Transactions on Microwave Theory and Techniques, 2002, 50:288~301.
    [142] T. Hui Teo and Wooi Gan Yeoh. Low-Power Short-Range Radio CMOS Subharmonic RF Front-End Using CG-CS LNA[J]. IEEE Transactions on Circuits and Systems–II: Express Briefs, 2008, 55(7):658~662.
    [143] Ke-Hou Chen, Jian-Hao Lu, Bo-Jiun Chen, et al. An Ultra-wide-band 0.4-10GHz LNA in 0.18μm CMOS[J]. IEEE Transactions on Circuits and Systems–II: Express Briefs, 2007, 54(3):217~221.
    [144] Chih-Fan Liao and Shen-Iuan Liu. A Broadband Noise-canceling CMOS LNA for 3.1-10.6GHz UWB Receivers[J]. IEEE Journal of Solid-State Circuits, 2007,42(2):329~339.
    [145] Yueh-Hua Yu, Yi-Jan Emery Chen and Deukhyoun Heo. A 0.6V Low Power UWB CMOS LNA[J]. IEEE Microwave and Wireless Components Letters, 2007, 17(3):229~231.
    [146] Francesco Barale and Domenico Zito. UWB 3.1-10.6GHz CMOS LNA[C]. Ph.D. Research in Microelectronics and Electronics, France, 2007:45~48.
    [147] LIU Meng-meng, ZHANG Sheng, WANG Shuo, et al. An Optimization Design Methodology for CMOS UWB LNA[J]. Acta Electronica Sinica, 2009, 37(5):1082~1086.
    [148] Ahmed Amer, Emad Hegazi and Hani Ragai. A Low-power Wideband CMOS LNA for WiMAX[J]. IEEE Transactions on Circuits and Systems–II: Express Briefs, 2007, 54(1):4~8.
    [149] Sherif A. Saleh, Maurits Ortmanns and Yiannos Manoli. A Low-Power Differential Common-Gate LNA[C]. IEEE International 51st Midwest Symposium on Circuits and Systems, 2008:137~140.
    [150] Hong Zhang, Gui-Can Chen, Su-Ming Lai, et al. A high-gain differential CMOS LNA for 3.1–10.6 GHz ultra-wideband receivers[J]. IEICE Electronics Express, 2008, 5(15):523~529.
    [151] Xiaohua Fan, Heng Zhang and Edgar Sánchez-Sinencio. A Noise Reduction and Linearity Improvement Technique for a Differential Cascode LNA[J]. IEEE Journal of Solid-State Circuits, 2008, 43(3):588~599.
    [152] Erik ?jefors, Ertugrul S?nmez, Sébastien Chartier, et al. Monolithic Integration of a Folded Dipole Antenna with a 24-GHz Receiver in SiGe HBT Technology[J]. IEEE Transactions on Microwave Theory and Techniques, 2007, 55(7):1467~1475.
    [153] Yu Su, Jau-Jr Lin and Kenneth K O. A 20GHz CMOS RF Down-Converter with an On-chip Antenna[C]. IEEE International Solid-State Circuits Conference(ISSCC), 2005:270~271.
    [154] Dan Shi, Nader Behdad, Jia-Yi Chen, et al. A 5GHz Fully Integrated Super-regenerative Receiver with On-chip Slot Antenna in 0.13μm CMOS[C]. IEEE Symposium on VLSI Circuits Digest of Technical Papers, 2008:34~35.
    [155] Xiaowei He, Jinwen Li, Minxuan Zhang, et al. Improvement of Integrated Dipole Antenna Performance Using Diamond for Intra-chip Wireless Interconnection[C]. IEEE International Conference on Integrated Circuit Design and Technology(ICICDT), 2010:248~251.
    [156] P. Heydari and R. Mohanavelu. A 40-GHz flip-flop-based frequency divider[J]. IEEE transactions on circuits and Systems–II: Analog and Digital Signal Processing, 2006, 53(12):1358~1362.
    [157] Y. Yamauchi, O. Nakajima, K. Nagata, et al. A 15-GHz monolithic two-modulusprescaler[J]. IEEE Journal of Solid-State Circuits, 2001, 26(11):1632~1636.
    [158] U. Singh and M. M. Green. High-Frequency CML clock dividers in 0.13-μm CMOS operating up to 38GHz[J]. IEEE Journal of Solid-State Circuits, 2005, 40(8):1658~1661.
    [159] K. Yamamoto and M. Fujishima. A 44-μW 4.3-GHz injection-locked frequency divider with 2.3-GHz locking range[J]. IEEE Journal of Solid-State Circuits, 2005, 40(5):671~677.
    [160] M. Tiebout. A CMOS direct injection-locked oscillator topology as high-frequency low-power frequency divider[J]. IEEE Journal of Solid-State Circuits, 2005, 39(3):1170~1174.
    [161] J. Lee and B. Razavi. A 40-GHz frequency divider in 0.18-μm CMOS technology[J]. IEEE Journal of Solid-State Circuits, 2004, 39(4):594~601.
    [162] Tang-Nian Luo and Yi-Jan Emery Chen. A 0.8-mW 55-GHz Dual-Injection-Locked CMOS Frequency Divider[J]. IEEE Transactions on Microwave Theory and Techniques, 2008, 56(3):620~625.
    [163] Jang Y C, Bae S J and Park H J. CMOS Digital Duty Cycle Correction Circuit for Multi-phase Clock[J]. Electronics Letters, 2003, 39(19):1383~1384.
    [164] Toru Ogawa and Kenji Taniguchi. A 50% Duty-cycle Correction Circuit for PLL Output[J]. Electronics and Communication in Japan, 2003, 86(2):1~8.
    [165] Nakamura K, Fukaishi M, Hirota Y, et al. A CMOS 50% Duty Cycle Repeater Using Complementary Phase Blending[C]. IEEE Symposium on VLSI Circuits, 2000:48~49.
    [166] Sung-Rung Han and Shen-Iuan Liu. A Single-path Pulsewidth Control Loop with a Built-in Delay-locked Loop[J]. IEEE Journal of Solid-State Circuits, 2005, 40(5):1130~1135.
    [167] Sung-Rung Han and Shen-Iuan Liu. A 500-MHz-1.25-GHz Fast-locking Pulsewidth Control Loop with Presettable Duty Cycle[J]. IEEE Journal of Solid-State Circuits, 2004, 39(3):463~468.
    [168] Yi-Ming Wang and Jinn-Shyan Wang. An All-digital 50% Duty-cycle Corrector[C]. IEEE International Symposium on Circuits and Systems, 2004:925~928.
    [169] C Yoo, C Jeong and J Kih. Open-loop Full-digital Duty Cycle Correction Circuit[J]. Electronics Letters, 2005, 41(11):635~636.
    [170] K. T. Chan, A. Chin, Y. D. Lin, et al. Integrated antennas on Si with over 100 GHz performance, fabricated using an optimized proton implantation process[J]. IEEE Microwave and Wireless Components Letters, 2003, 13:487~489.
    [171] Dongjun Yang. Clock Generators for Frequency and Time Reference in Deep Submicron CMOS Technology[D]. Ph.D. Thesis, University of Florida, 2004.
    [172] Xiaoling Guo, Dong-Jun Yang, Ran Li, et al. A Receiver with Start-upInitialization and Programmable Delays for Wireless Clock Distribution[C]. IEEE International Solid-State Circuits Conference(ISSCC), 2006:1530~1539.
    [173] Ran Li, Xiaoling Guo, Dong-Jun Yang, et al. Initialization of a Wireless Clock Distribution System Using an External Antenna[C]. IEEE Custom Integrated Circuits Conference(CICC), 2005:105~108.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700