嵌入式多核处理器在线追踪调试与错误检测关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着嵌入式系统产业的蓬勃发展,高效的开发调试工具越来越受到关注。片上在线追踪(片上trace)调试技术通过专用硬件非入侵地实时记录处理器的运行信息,具有可信度高、无需代码改动和不影响系统运行状态等优点,可有效解决当前高集成度和高实时性嵌入式系统的调试困难,因此成为近几年来嵌入式调试技术的重要研究方向。
     在航天和军事等应用领域中,处理器的可靠性成为至关重要的问题,因此需要在线容错机制处理各类硬件故障。错误检测是容错的首要步骤,满足嵌入式系统对成本和功耗的要求,研究低硬件开销和低性能损失的错误检测方法具有重要意义。
     本文针对片上trace调试技术和错误检测技术展开研究。首先讨论了嵌入式处理器的调试模型,对片上trace技术的原理、优势和实现模型进行了深入分析,而后对片上信息采集压缩、trace数据流的片上传输结构和trace辅助的调试调优应用等几个方面进行了深入研究,并在上述研究工作的基础上,设计实现了一个多核片上trace调试系统,验证了本文的研究结论。本文还提出了一种控制流错误检测方法,满足了嵌入式处理器低开销的容错需求。
     本文取得的主要研究成果如下:
     (1)在片上trace信息的采集压缩方面提出旨在提高压缩率和灵活性的改进和创新:设计了有效压缩条件分支消息的长短串编码方式;设计了分支输出配置位,用于控制采集内容与输出数据量之间的灵活折中;设置了有效辅助程序调优的事件trace,提供了在精度和数据量之间灵活折中的编码方式;设计了对trace功能进行非入侵配置访问的NOP config指令。
     (2)根据多核trace数据流传输的特点,提出一种基于服务请求门限和最小服务粒度双重约束的懒惰队列调度算法。该算法通过设置各队列的服务请求门限控制队长分布,通过设置最小服务粒度和懒惰服务切换减少队列切换开销。实验结果表明,该算法能够按设置的队列优先级充分利用缓冲容量,有效降低各缓冲队列的溢出。该算法的实现代价合理,具有良好的可扩展性。
     (3)扩展了片上trace技术的应用领域,提出一种将代码排布技术与指令预取技术结合使用的方法。由片上trace非入侵地获得带有时间信息的程序执行路径,利用程序运行的周期行为特性设置预取,以增加预取容限为目标进行函数级的代码排布,并利用VLIW的空闲单元执行预取指令。实验结果表明,同单独实施的指令预取或代码排布相比,该方法能更有效地减少指令Cache失效。
     (4)针对嵌入式系统低开销的容错需求,结合VLIW结构处理器的特点,提出一种基于特征值监督的控制流错误检测方法。设计了弱位置约束的特征值指令,允许在一定范围内寻找空闲指令槽或NOP指令位置来执行特征值指令,由此减小了处理器的性能损失和代码长度开销。设计了动态特征值修正指令,可根据分支寄存器的内容动态修正预期特征值,相比硬件方法扩大了故障检测范围,相比软件方法减小了性能损失。该方法可以检测15种控制流错误和指令码的位翻转错误,具有较高的故障覆盖率和较小的硬件开销。
     (5)建立了基于存储元件状态集合的嵌入式处理器调试模型,对片上trace的工作机理、内在优势和实现模型进行了深入分析和讨论。实现了一套较为完善的片上trace调试系统,通过对多核程序的实例研究,该系统可有效辅助调试和调优。
With the flourishing development of the embedded system industry, more and more attentions are paid to efficient development and debugging tools. On-chip trace technique records run-time information of the processor with dedicated hardware non-intrusively. Without code changes, on-chip trace has the advantage of high reliability and does not affect the run-time behavior of the system. It is able to overcome the debug obstacle of current embedded systems with high level of integration and high real-time requirements. Therefore on-chip debug becomes an important research aspect of debug technology in recent years.
     In aerospace and military applications, the reliability of processors becomes a critical issue. Therefore fault-tolerant mechanisms are required to deal with hardware failures. Error detection is the first step in the fault tolerance. Meeting the cost and power requirements of embedded systems, the study on the error detection with low hardware cost and low performance loss is of great significance.
     In this dissertation, on-chip trace debug and on-line error detection are studied. We first discuss the debug model of embedded processors, and give an in-depth analysis on the principles, advantages and realizations of on-chip trace technique. And then we study three aspects: the collection and compression of run-time information, the transmission structure of trace data and the debugging and optimization assisted by trace. A multi-core on-chip trace system is founded to verify the conclusions mentioned above. This dissertation also presents a control-flow error detection method for the low-cost requirements of fault tolerance of embedded processors.
     Primary innovative works in this dissertation can be summarized as follows:
     (1) We propose several innovations and improvements on trace information collection and compression to improve the compression ratio and flexibility. A Long and Short Chart Encoder is presented that can compress the trace data of conditional branches effectively. Configuration bits of branching output are designed that can achieve a flexible tradeoff between trace contents and data volumes. Event trace is implemented that can assist optimization effectively, and the encoding method for event trace can achieve a good tradeoff between accuracies and volumes. We also present a NOP_config instruction that can configurate the on-chip trace hardware non-intrusively.
     (2) Scheduling for combining the traffic of multi-source trace data is one of key issues that affect the performance of trace data transmission. By analyzing the features of trace traffic combination, a lazy scheduling algorithm based on the service threshold and the minimum service granularity is proposed. The queue length distribution is constrained by configurable service threshold of each queue, and switching overheads are reduced by lazy scheduling and configurable minimum service granularity. Simulation results show that the algorithm controls the overflow rate of each queue effectively and utilizes the buffer capacity sufficiently according to the queues priority assigned. The algorithm has good scalability with reasonable hardware costs.
     (3) Expanding the application of on-chip trace debug, a method combining code layout and instruction prefetching is proposed. The program execution path with timestamps is offered by on-chip trace non-intrusively. By exploring the phase behavior of program execution path, code layout is executed for maximizing the prefetching intervals, and prefetching operations are executed by unoccupied function units in the VLIW architecture. Simulation results show that instruction cache misses are much reduced compared with code layout or instruction prefetch implemented alone.
     (4) Focusing on low-cost fault detection for embedded systems and characters of the VLIW architecture, a hybrid control flow checking method (V-CFC) by monitoring signatures is proposed. Signature instructions with weak position constraint are designed to offer the redundant control flow information, and these instructions can be executed in unoccupied instruction slots or in positions of NOP instructions to minimize the overhead on processor performance and program code size. Dynamic Offset Signature Instructions are proposed to offset the expected signature by accessing branch registers in run time, therefore V-CFC expand the error detection scope compared with other hardware methods and reduce the performance loss compared with a software method. V-CFC is able to check bit flips of instruction codes and 15 types of execution sequences control flow errors with the high error-detection coverage, low performance loss and low hardware costs.
     (5) A debug model of embedded processors is founded with the state set of storage elements, and an in-depth analysis is given on the principles, inherent advantages and realization models of on-chip trace. An on-chip trace system is founded on the platform of a multi-core processor YHFT-QDSP. A case study to a multi-core program shows, the system is able to assist debugging and optimization effectively.
引文
[1]吕京建,肖海桥.面向二十一世纪的嵌入式系统综述.电子质量,pp.10-13,8,2001.
    [2]Edward A.Lee.What's Ahead for Embedded Software,IEEE Computer,33(9):18-26,Sept,2000.
    [3]Gerard V.Trends in Debugging Technology.Embedded Systems Conference East,Chicago,pp.1-9,March 1998.
    [4]National Institute of Standards and Technlogy(NIST),Department of Commerce.Software errors cost U.S.economy $59.5 billion annually.NIST News Release,June 2002.
    [5]2007中国嵌入式计算平台论坛研究报告:嵌入式C标准研究报告.中国单片机公共实验室,http://www.mcuol.com/download/upfile/20070817115825_MISRAC.pdf,2007-05
    [6]丘凯伦.嵌入式系统调试方法的分析与比较.现代计算机,pp.82-84,11,2005.
    [7]http://www.nexus5001.org.
    [8]IEEE-ISTO 5001~(TM)-2003,The Nexus 5001 Forum~(TM) Standard for a Global Embedded Processor Debug Interface v2.0.http://www.nexus5001.org/stand -ard2.html,2003-11
    [9]Randy Dees.An introduction to the IEEE-ISTO 5001 Nexus Debug Standard,http://www.nexus5001.org/Nexus_Revealed_prevew_online.pdf,2005-04
    [10]http://www.ip-extreme.com/IP/nexus_5001.html,2007-09
    [11]N.Stollon,Rick Leatherman,Bruce Ableidinger,Emie Edgar.Multi-Core Embedded Debug for Structured ASIC Systems.In Proceedings of Design Conference,pp.1-23,October 2004.
    [12]CoreSight Flyer.ARM Ltd.,http://www.arm.com/products/solutions/Core -Sight.htm,2004-10-01
    [13]CoreSight~(TM) Components Technical Reference Manual,ARM Ltd.http://www.arm.com/pdfs/DDI0314C_coresight_component_trm.pdf,2006-07-31
    [14]ARM DDI 0291A,Embedded Cross Trigger Technical Reference Manual,http://www.arm.com,2003-06
    [15]TMS320C62x Code Composer IDE Help(SPRH197),Parallel Debugging Manager:An Introduction,http://www.ti.com,2005-06
    [16]First Silicon Solutions,Inc.FS2 MED~(TM)System for SoC Multi-Core Embedded Debug,http://www.fs2.com,2006-03
    [17]A.Mayer,H.Siebert,K.Maier.Debug Support,Calibration and Emulation for Multiple Processor and Powertrain Control SoCs.Design and Test in Europe Conference 2005(DATE'05),pp.148-152,March 2005.
    [18]Albrecht Mayer,Harry Siebert,Klaus D.McDonald-Maier,Boosting Debugging Support for Complex Systems on Chip,Computer,40(4):76-81,April 2007.
    [19]Sandy Orlando and Jean Claude Coutant,Multi-core debugging how to expedite the edit-compile-debug process,The Complementory Magazine to www.Embedded-Control-Europe.com,November 2006.
    [20] Tim Loveless. Techniques of multiple device debugging using JTAG, The Complementory Magazine to www.Embedded-Control-Europe.com, March 2004.
    [21] Daniel Sundmark, Deterministic Replay Debugging of Embedded Real-Time Systems using Standard Components, PhD. Thesis, Department of Computer Science and Engineering Malardalen University Vasteras, Sweden, March 2004.
    [22] Darlene Stewart and Morven Gentleman, Non-stop monitoring and debugging on shared memory multiprocessors, In Proceedings of the 2nd International Workshop on Software Engineering for Parallel and Distributed Systems, IEEE Computer Society, pp. 263-269, May 1997.
    [23] Joel Huselius, Debugging parallel systems: A state of the art report, Technical Report 63, Mlardalen University, Department of Computer Science and Engineering, September 2002.
    [24] R. Leatherman and N. Stollon. An Embedded Debugging Architecture for SOCs.IEEE Potentials, 24(1): 12-16, Jan. 2005.
    [25] Robert F. Molyneaux, Debug and Diagnosis in the Age of System-on-a-Chip,International Test Conference (ITC'03), Sept. 2003.
    [26] J. Anderson, L. M. Berc, J. Dean, S. Ghemawat, M. R. Henzinger, S. Leung, R. L. Sites, M. T. Vandevoorde, C. A. Waldspurger, and W. E. Weihl. Continuous profiling: Where have all the cycles gone?, Proceedings of the 16th ACM Symposium of Operating Systems Principles, pp. 1-14, October 1997.
    [27] Rajiv Gupta, Eduard Mehofer, and Youtao Zhang. Profile guided compiler optimizations. In The Compiler Design Handbook: Optimizations and Machine Code Generation. CRC Press, 2002.
    [28] S. Hangal and M. S. Lam, Tracking down software bugs using automatic anomaly detection. Proceedings of the 24th International Conference on Software Engineering, pp. 291-301, May 2002.
    [29] S. Savage, M. Burrows, G. Nelson, P. Sobalvarro, and T. Anderson, Eraser: A dynamic data race detector for multithreaded programs, ACM Transactions on Computer Systems, pp. 391-411, November 1997.
    [30] A. B. T. Hopkins and K. D. McDonald-Maier, KD. Debug Support Strategy for Systems-on-Chips with Multiple Processor Cores, IEEE Trans. on Computers,55(2):174-184,2006.
    [31] A. B. T. Hopkins, R. G. S. Scottow, and K. D. McDonald-Maier, Generic data trace unit and trace compression for system-on-chip, in IEE SoC Design,Test and Technology Seminar, Loughborough, UK, Sep. 15, 2004.
    [32] A. B. T. Hopkins and K. D. McDonald-Maier. Debug Support for Complex Systems on-Chip: A Review. IEE Proceedings on Computers and Digital Techniques (PCDT), 153(4): 197-207,2006.
    [33] Shyh-Ming Huang, Ing-Jer Huang, and Chung-Fu Kao. Reconfigurable Real-Time Address Trace Compressor for Embedded Microprocessors, Proc.2003 IEEE International Conference on Field-Programmable Technology, Japan,Dec. 2003.
    [34] Chung-Fu Kao, Chi-Hung Lin, and Ing-Jer Huang, Configurable AMBA On-chip Signal Tracer, Proc. 2007 IEEE Asia-Pacific Design Automation Conference,Japan, Jan. 2007.
    [35] Chung-Fu Kao, Ing-Jer Huang, Chi-Hung Lin. An Embedded Multi-resolution AMBA Trace Analyzer for Microprocessor-based SoC Integration. Design Automation Conference (DAC) 2007, pp. 477-482, June 2007.
    [36]Chung-Fu Kao,Liang-Bi Chen,and Ing-Jer Huang.A Cache-Based Approach for Program Address Trace Compression,DATE 2007 Workshop on Diagnostic Services in Network-on-Chips,Nice,France,April 2007.
    [37]Chung-Fu Kao,Shyh-Ming Huang,and Ing-Jer Huang.A Hardware Approach to Real-Time Program Trace Compression for Embedded Processors,IEEE Trans.on Circuits and Systems,Vol.54,No.3,pp.530-543,March 2007.
    [38]Ciaran MacNamee,Donal Heffernan.Emerging on-chip debugging techniques for real-time embedded systems.Computer&Control Engineering Journal,pp.295-303,Dec 2000.
    [39]Shan Tang and Qiang Xu.A Multi-Core Debug Platform for NoC-Based Systems.IEEE/ACM Design,Automation,and Test in Europe(DATE),pp.870-875,Apr.2007.
    [40]Embedded Trace Macrocell Architecture Specification.ARM Ltd.,http://www.arm.com/pdfs/IH10014N_etm_v34_architecture_spec.pdf,2006-03
    [41]AMBA AHB Trace Macrocell(HTM) Technical Reference Manual.pdf,ARM Ltd.,http://www.arm.com,2006-03
    [42]Embedded Trace Macrocells Product Overvier.ARM Ltd.,http://www.arm.com /miscPDFs/11655.pdf,2006-03
    [43]EJTAG Trace Control Block Specification,MIPS Technologies Inc.http://www.mips.com,2006-03
    [44]PDtrace~(TM) Interface and Trace Control Block Specification.MIPS Technologies Inc.http://www.mips.com/content/Documentation/MIPSDocumentation/Process orArchitecture/doclibrary#ArchitectureSetExtensions,2005-07-04
    [45]MPC565 Reference Manual,Freescale Semiconductor,http://www.freescale.com/webapp/sps/site/prod_summary,jsp? code=MPC565,2005-11
    [46]MPC5534 Microcontroller Product Brief,Freescale Semiconductor,http://www.freescale.com/files/32bit/doc/prod_brief/MPC5534PB.pdf,2005-11
    [47]http://www.eetimes.com/story/industry/semiconductor_news/OEG20011106S0054,2001-06-11
    [48]ARC International Provides New Configurable Trace and Debug Extensions to the ARC~(TM) 600 and 700 Core Families.http://www.arc.com/news/PressRelease.html?id=227,2005-11-29
    [49]T.Litt.Support for Debugging in the Alpha 21364 Microprocessor,Proc.of IEEE Int.Test Conf.pp.584-589,2002.
    [50]高珑.面向硬件故障的软件容错:模型,算法和实验.工学博士学位论文,长沙:国防科学技术大学研究生院,10,2006.
    [51]Yung-Yuan Chen.Concurrent Detection of Control Flow Errors by Hybrid Signature Monitoring.IEEE Transactions on Computers,Vol.54,pp.1298-1313,October 2005.
    [52]徐拾义.可信计算系统设计和分析.北京:清华大学出版社,2006.
    [53]Avizienis A.Toward Systematic Design of Fault-Tolerant Systems.IEEE Computer,30(4):51-58,1997.
    [54]Clark J A,Pradhan D K.Fault injection:a method for validating computer-system dependability.IEEE Computer,28(6):47-56,June 1995.
    [55]黄海林,唐志敏.容错处理器设计概述.信息技术快报,Vol.3,No.9,pp.21-29,2005.
    [56]Normand E.Single event upset at ground level.IEEE Trans.on Nuclear Science,43(6):2742-2750,December 1996.
    [57] Koga R, Penzin S H, Crawford K B, et al. Single event upset (SEU) sensitivity dependence of linearintegrated circuits (ICs) on bias conditions. IEEE Trans. on Nuclear Science, 44(6): 2325-2332, December 1997.
    [58] T. J. O'Gorman, J. M. Ross, A. H. Taber, J. F. Ziegler, H. P. Muhlfeld, I. C. J.Montrose, H. W. Curtis, and J. L. Walsh. Field testing for cosmic ray soft errors in semiconductor memories. In IBM Journal of Research and Development, pp.41-49, January 1996.
    [59] Ravishankar K. Iyer, Nithin M. Nakka, Zbigniew T. Kalbarczyk, Subhasish Mitra.Recent Advances and New Avenues in Hardware-Level Reliability Support, IEEE Micro, pp. 18-29, June 2005.
    [60] Sarita V. Adve, Pia Sanda, Reliability-aware Microarchitecture. IEEE, Micro, pp.8-9, June 2005.
    [61] Lyons R E, Vanderkulk W. The Use of Triple-Modular Redundancy to Improve Computer Reliability. IBM Journal of Research and Development, 6(2): 200-209,1962.
    [62] Pradhan D K, Fault-Tolerant Computer System Design, Upper Saddle River, NJ,USA:Prentice Hall, 1996.
    [63] Roshan G. Ragel, Sri Parameswaran. Hardware assisted pre-emptive control flow checking for embedded processors to improve reliability. IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'06), pp. 100-105,2006.
    [64] Gaisler J. A portable fault-tolerant microprocessor based on the SPARC V8 architecture, In Proc. of the Conference on Data systems in aerospace (DASIA 99), May 1999, Lisbon, Portugal; pp. 173-177, 1999.
    [65] Gaisler J. A portable and fault-tolerant microprocessor based on the SPARC v8 architecture, In Proc. of International Conference on Dependable Systems and Networks (DSN'02), June 2002, Bethesda, MD, pp. 409-415,2002.
    [66] Bares J, Hebert M, Kanade T, et al. Ambler - An autonomous rover for planetary exploration. IEEE Computer, 22(6): 18-26, June 1989.
    [67] Z. Alkhalifa, V.S.S. Nair, N. Krishnamurthy and J.A. Abraham, Design and evaluation of system-level checks for on-line control flow error detection, IEEE Trans. on Parallel and Distributed Systems, Vol. 10, Issue 6, pp. 627-641, June 1999.
    [68] Edson Borin, Cheng Wang, Youfeng Wu, Guido Araujo. Software-Based Transparent and Comprehensive Control-Flow Error Detection, Proceedings of the International Symposium on Code Generation and Optimization (CGO'06),pp. 333-345,2006.
    [69] Error Detection Technique for PowerPC Processor-based Embedded Systems,Proc. of 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05), pp.266-274, Monterey, CA, USA, Oct. 2005.
    [70] O. Goloubeva, M. Rebaudengo, M. Sonza Reorda and M. Violante, Soft-Error Detection Using Control Flow Assertions, Proc. of 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'03), pp.57-62,Boston, Massachusetts November 2003.
    [71] Yasser Sedaghat, Seyed Ghassem Miremadi, Mahdi Fazeli. A Software-Based Error Detection Technique Using Encoded Signatures. The IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems(DFT'O6), pp.389-400,2006.
    [72]N.Oh,P.P.Shirvani,and E.J.McCluskey,Control-flow checking by software signatures,IEEE Trans.on Reliability,Vol.51,Issue 1,pp.111-122,March 2002.
    [73]Venkatasub.ramanian R,Hayes J P,Murray B T.Lowocost on-line fault detection using control flow assertions.In Proceedings of the 9th IEEE International On-Line Testing Symposium,pp.137-143,July 2003.
    [74]李爱国,洪炳熔,王司.一种软件实现的程序控制流错误检测方法.宇航学报,27(6):1424-1430,2006.
    [75]M.A.Schuette and J.P.Shen,Exploiting instruction-level parallelism for integrated control-flow monitoring,IEEE Trans.Computers,vol.43,no.2,pp.129-140,Feb.1994.
    [76]Madeira H.and J.G.Silva,On-Line Signature Learning and Checking:Experimental Evaluation,Proc.Of Advanced Computer Technology,Reliable Systems and Applications(CompEuro'91),pp.642 -646,May 1991.
    [77]Michel T,R.Leveugle and G.Saucier,A New Approach to Control Flow Checking without Program Modification,21st Int.Symposium on Fault-Tolerant Computing,pp.334-341,1991.
    [78]Amir Rajabzadeh,Seyed Ghassem Miremadi.A Hardware Approach to Concurrent Error Detection Capability Enhancement in COTS Processors.PRDC'05,pp.83-90 2005.
    [79]P.Bemardi,L.M.Veiras Bolzani,M.Rebaudengo,M.Sonza Reorda,F.L.Vargas,M.Violante,A New Hybrid Fault Detection Technique for Systems-on-a-Chip,IEEE Transactions on Computers,Vol.55,No.2,pp185-198,Feb.2006.
    [80]Yung-Yuan Chen,Kun-Feng Chen.Incorporating Signature-Monitoring Technique in VLIW Processors.The IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems(DFT'04),pp.395-402,2004.
    [81]Xiaobin Li and Jean-Luc Gaudiot,A Compiler-Assisted On-Chip Assigned-Signature Control Flow Checking,Asia-Pacific Computer Systems Architecture Conference(ACSAC'04),Beijing China,pp.554-567,September 2004.
    [82]Madeira H.,M.Rela,P.Furtado and J.G.Silva,Time Behaviour Monitoring as an Error Detection Mechanism,3rd IFIP Working Conference on Dependable Computing for Critical Applications(DCCA'92),pp.121-132,Sept.1992.
    [83]G.Miremadi,J.Ohlsson,M.Rimen,and J.Karlsson,Use of Time,Location and Instruction Signatures for Control Flow Checking,in Proc.of the DCCA-6International Conference,IEEE Computer Society Press,1998.
    [84]Ohlsson J.and M.Rimen,Implicit Signature Checking,Twenty-Fifth International Symposium on Fault-Tolerant Computing,FTCS-25,pp.218 -227,1995.
    [85]Rajabzadeh A.,M.Mohandespour and G.Miremadi,Error Detection Enhancement in COTS Superscalar Processors with Event Monitoring Features,Proceedings of the 10th IEEE/IFIP International Pacific Rim Symposium on Dependable Computing(PRDC'04),pp.49-54,March 2004.
    [86]Nirmal R.Saxena,Edward J.McCluskey.Control-Flow Checking Using Watchdog Assists and Extended-Precision Checksums.IEEE Trans.Computers 39(4):554-559,1990.
    [87] Schuette M. A. and J. P. Shen, Processor Control Flow Monitoring Using Signatured Instruction Streams, IEEE Trans. on Computers, Vol. C-36, No. 3, pp.264-276, March 1987.
    [88] Wilken K. and J. P. Shen, Continuous Signature Monitoring. Low-Cost Concurrent Detection of Processor Control Errors, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 9, pp. 629-641,June 1990.
    [89] Woodruff R L, Rudeck P J. Three-dimensional numerical simulation of single event upset of an SRAM cell. IEEE Trans, on Nuclear Science, 40(6): 1795-1803,1993.
    [90] Irom F, Farmanesh F F, Johnston A H, et al. Single-event upset in commercial silicon-on-insulator PowerPC microprocessors. IEEE Trans. on Nuclear Science,49(6):3148-3155, Dec 2002.
    [91] Mukherjee S S, Kontz M, Reinhardt S K. Detailed design and evaluation of redundant multithreading alternatives. In Proc. of the 29th annual international symposium on Computer architecture (ISCA 2002), May 2002, Anchorage, AK,pp. 99-110,2002.
    [92] Gomaa M, Scarbrough C, Vijaykumar T N, et al. Transient-fault recovery for chip multiprocessors. In Proc. of the 30th annual international symposium on Computer architecture (ISCA 2003), June 2003, San Diego, California, pp.98-109,2003.
    [93] Reinhardt S K, Mukherjee S S. Transient fault detection via simultaneous multithreading. In Proc. of the 27th annual international symposium on Computer architecture (ISCA 2000), June 2000, Vancouver, BC, Canada:IEEE CS, pp.25-36, 2000.
    [94] Vijaykumar T, Pomeranz I, Cheng K. Transient-fault recovery using simultaneous multithreading. In Proc. of the 29th Annual International Symposium on Computer Architecture (ISCA 2002), May 2002, Anchorage, AK, pp. 87-98,2002.
    [95] Oh N. Software Implemented Hardware Fault Tolerance. Ph.D. Thesis. Stanford,Calif.:Stanford Univ., 2000.
    [96] Oh N, Subhasish M, McCluskey E J. ED~4I: Error detection by diverse data and duplicated instructions. IEEE Trans. on Computers, 51(2): 180-199, Feb. 2002.
    [97] Rajabzadeh A., S. G. Miremadi, M. Mohandespour, Error Detection Enhancement in COTS Superscalar Processors with Performance Monitoring Features, Journal of Electronic and Testing: Theory and Applications (JETTA), Kluwer Academic Publishers, volume 20, issue 5, pp. 553-567, Oct. 2004.
    [98] J. Gaisler. Evaluation of a 32-bit microprocessor with built-in concurrent error detection. In Proceedings of the 27th Fault-Tolerant Computing Symposium, pp.42-46, June 1997.
    [99] F. Faure, R. Velazco. Fault Tolerant 32-bit RISC Processor: Implementation and Radiation Test Results, Single Event Effects Symposium, April 2002.
    [100] Shirvani P. Fault Tolerant Computing for Radiation Environment. Ph.D. Thesis.Stanford, Calif.: Stanford Univ., 2001.
    [101] L. Spainhower and T. Gregg. G4: A fault-tolerant CMOS mainframe. In Proceedings of the 28th Fault-Tolerant Computing Symposium, pp. 432-440,June 1998.
    [102]Siegel T.J.,Averill R.M.,Check M.A.,Giamei B.C.,Krumm B.W.,Krygowski,C.A.,Li,W.H.,Liptay,J.S.,MacDougall,J.D.,McPherson,T.J.,Navarro,J.A.,Schwarz,E.M.,Shum,K.,Webb,C.F..IBM's S/390 G5 microprocessor design,Micro,IEEE Volume 19,Issue 2,pp.12-23,March-April 1999.
    [103]Nhon Quach.High availability and reliability in the itanium processor.Micro,IEEE Volume 20,Issue 5,pp.61-69,Sept.-Oct.2000.
    [104]陈书明,李振涛,万江华,胡定磊,郭阳,汪东,扈啸,孙书为.“银河飞腾”高性能数字信号处理器研究进展.计算机研究与发展,43(6):993-1000,2006.
    [105]张新芳.“银河飞腾”DSP片上调试结构的设计与实现.工程硕士学位论文,长沙:国防科学技术大学研究生院,6,2006.
    [106]陈莉丽.“银河飞腾”系列DSP调试环境的设计与实现.工学硕士学位论文,长沙:国防科学技术大学研究生院,12,2006.
    [107]彭贵福,银河飞腾DSK板及其USB2.0仿真器设计.工程硕士学位论文,长沙:国防科学技术大学研究生院,6,2006.
    [108]邢克飞,张传胜,王京,杨俊,季金明.数字信号处理器抗辐射设计技术研究.应用基础与工程科学学报,14(4):572-577,12,2006.
    [109]邢克飞,王跃科,扈啸.银河飞腾DSP芯片总剂量辐照试验研究.半导体技术,31(7):493-495,2006.
    [110]A BDTI Analysis of Texas Instrument TMS320C64x.http://www.BDTI.com,2003.
    [111]黄海林,范东睿,许彤,朱鹏飞,郑保建,曹非,陈亮.嵌入式处理器在片调试功能的设计与实现.计算机辅助设计与图形学学报,18(7):1005-1010,2006.
    [112]金辉,华斯亮,张铁军,侯朝焕.基于JTAG标准的处理器片上调试的分析和实现.微电子学与计算机,24(6):116-119,2007.
    [113]张伟,李兆麟,张闯,汪东升.一种基于JTAG的嵌入式微处理器片上可调试系统.计算机工程与应用,pp.1-4,12,2004.
    [114]孙桂花,陈章龙,崔亮.嵌入式处理器调试系统的设计考虑.计算机工程与设计,26(6):1606-1608,2005.
    [115]郑德春,姚庆栋,刘鹏,余巧燕.嵌入式模拟器中的JTAG应用.浙江大学学报(工学版),40(1):20-24,2006.
    [116]严明.嵌入式微处理器可测性设计与片上调试技术的研究与实现.硕士学位论文,长沙:国防科学技术大学研究生院,12,2005.
    [117]Debug Support Strategy for Systems-on-Chips with Multiple Processor Cores http://www.ee.kent.ac.uk/research/theme_proj ect.aspx?pid=13,2003-06-01
    [118]Ing-Jer Huang,Chung-Fu Kao,Hsin-Ming Chen,Ching-Nan Juan,and Tai-An Lu.A Retargetable Embedded In-Circuit Emulation Module for Microprocessors,IEEE Design & Test of computers,pp.28-38,July-Aug 2002.
    [119]Chung-Fu Kao,Shyh-Ming Huang,Chun-Chang Chen,and Ing-Jer Huang.SoC infrastructure IP's,Proc.2005 IEEE Emerging Information Technology Conference,Japan,Aug 2005.
    [120]Liang-Bi Chen,Chung-Fu Kao,Yi-Ting Lin,Chi-Hung Lin,Chien-Chou Wang,Wen-Chi Shiue,and Ing-Jer Huang.A Reconfigurable Diagnostic Infrastructure for NoCs,DATE 2007 Workshop on Diagnostic Services in Network-on-Chips,Nice,France,April,2007.
    [121]Mohammed El Shobaki.On-Chip Monitoring of Single- and Multiprocessor Hardware Real-Time Operating Systems,Proceedings of the 8th International Conference on Real-Time Computing Systems and Applications,2002.
    [122]http://www.fs2.com,2006-03
    [123]http://www.windriver.com/products/OCD/,2006-03
    [124]http://www.lauterbach.com,2006-03
    [125]PowerTrace for NEXUS,Lauterbach Ltd.,http://www2.1auterbach.com/doc/nexus.pdf,2006-03
    [126]http://www.lynuxworks.com/products/spyker/spyker.php3,2006-03
    [127]http://www.iar.com,2006-03
    [128]http://www.yokogawa.com/cn-ysh/emd/pdf/emd_Plus.pdf,2006-3
    [129]http://www.greenhillssoftware.com/download/datasheets/supertraceprobe.pdf,2005.
    [130]A.Mahmood and E.J.McCluskey,Concurrent Error Detection Using Watchdog Processors:A survey,IEEE Transactions on Computers,pp.160-174,Feb.1988.
    [131]Bagchi S,Kalbarczyk Z,Lyer R and Levendel Y.Hierachical error detection in a SIFT environment.PhD.Thesis,U of Illinois,2001.
    [132]J.Ohlsson,M.Rimen,and U.Gunneflo.A study of the effects of transient fault injection into a 32-bit risc with built-in watchdog.In 22nd Int.Symposium on Fault Tolerant Computing,pp.316-325,1992.
    [133]D.Kirovski,M.Potkonjak,and L.M.Guerra,Improving the observability and controllability of datapaths for emulation-based debugging,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,vol.18,no.11,pp.1529-1541,November 1999.
    [134]R.Sedaghat-Maman,PhD,Dissertation,Fault Emulation:Reconfigurable Hardware-Based Fault Simulation Using Logic Emulation Systems with Optimized Mapping,1999.R.Sedaghat-Maman,DFG-Research Report,Report period:15.3.1995-15.3.1997
    [135]L.H.Goldstein,Controllability/Observability Analysis of Digital Circuits,IEEE Trans.on Circuits and Systems,Vol.CAS-26,No.9,pp.685-693,Sept.1979.
    [136]Michael L.Bushnell,Vishwani D.Agrawal.超大规模集成电路测试:数字、存储器和混合信号系统.北京:电子工业出版社,2005.
    [137]毛法尧.数字逻辑.北京:高等教育出版社,pp.98-99,2000.
    [138]Gary Swoboda.Design View/Design Solution.The History Behind Vanishing Visibility.http://www.elecdesign.com/Articles/Index.cfm?AD=1&ArticleID=5717,2003-09-15.
    [139]Gary Swoboda.Combat Integration's Dark Side With New Development Tools,http://www.elecdesign.corn/Articles/Index.cfm?AD=1&ArticleID=5717,2003-09-15.
    [140]Robert Charles Metzger.软件调试思想.北京:电子工业出版社,pp.176-207,2004.
    [141]C.Lee.UTDSP benchmark suite,http://www.eecg.toronto.edu/~corinna/DSP/infrastructure/UTDSP.html,1998.
    [142]TAGAGI H.Queuing analysis of polling system.ACM Computing Surveys,20(1):5-28,1988.
    [143]王智,申兴发,于海斌,宋叶琼,孙优贤.两类服务对象轮询模型的平均运行周期.计算机学报,27(9):1213-1220,2004.
    [144]李万林,田畅,郑少仁.光总线交换网络输出排队两级缓冲结构与性能分析.电子学报,31(4):1-4,2003.
    [145]T.D.Lagkas,Georgios I.Papadimitriou,Petros.Nicopolitidis,Andreas S.Pomportsis.Priority Oriented Adaptive Polling for wireless LANs.ISCC'06,pp.719-724,2006.
    [146]Robert A.Lackrnan,Jian Xu.Laxity Threshold Polling for Scalable Real-Time/Non-Real-Time Scheduling.International Conference on Computer Networks and Mobile Computing(ICCNMC'03),pp.493-496,2003
    [147]T.Ball,and J.Larus.Using paths to measure,explain,and enhance program behavior.IEEE Computer,33(7):57-65,2000.
    [148]B.Calder,P.Feller and A.Eustace.Value Profiling.The 30th IEEE/ACM International Symposium on Microarchitecture(MICRO),pp.259-269,Dec.1997.
    [149]Xiangyu Zhang,Rajiv Gupta,Whole Execution Traces,37th IEEE/ACM International Symposium on Microarchitecture(MICRO),pp.105-116,2004.
    [150]周庆华.逻辑分析仪在嵌入式开发调试中的应用.国外电子测量技术,pp.2-5,4.2003.
    [151]R.Bodik,R.Gupta and M.L.Sofia.Complete Removal of Redundant Expressions.ACM SIGPLAN Conference on Programming LanguageDesign and Implementation(PLDI),pp 1-14,Montreal,Canada,June 1998.
    [152]R.Gupta,D.Berson,and J.Z.Fang,Path Profile Guided Partial Redundancy Elimination Using Speculation,IEEE International Conference on Computer Languages(ICCL),pp.230-239,Chicago,Illinois,May 1998.
    [153]C.Young and M.D.Smith,Better Global Scheduling Using Path Profiles,IEEE/ACM International Symposium on Microarchitecture(MICRO),pp.115-123,1998.
    [154]Nikolas Gloy,Michael D.Smith,Procedure Placement Using Temporal-Ordering Information,ACM Transactions on Programming Languages and Systems,Vol.21,No.5,pp.171-182,September 1999.
    [155]Q.Jacobson,E.Rotenberg,and J.E.Smith,Path-Based Next Trace Prediction,The 30th IEEE/ACM International Symposium on Microarchitecture(MICRO),pp.14-23,December 1997.
    [156]B.Bruegge,P.Hibbard.Generalized Path Expressions.A High-level Debugging Mechanism.J.Systems and Software.Vol.3,No.4,pp/265-276,1983.
    [157]B.A.Nejmeh.Npath:A Measure of Execution Path Complexity and Its Applications,Comm.ACM,pp.188-200,Feb.1988.
    [158]Koji Taniguchi,Takashi Ishio,Toshihiro Kamiya,Shinji Kusumoto,Katsuro Inoue,Extracting Sequence Diagram from Execution Trace of Java Program.Proceedings of the 2005 Eighth International Workshop on Principles of Software Evolution(IWPSE'05),pp.148-154,2005.
    [159]T.Reps et al.,The Use of Program Profiling for Software Maintenance with Applications to the Year 2000 Problem,Proc.Fifth ACM SIGSOFT Symp.Foundations of Software Eng.,pp.432-449 1997.
    [160]L.A.Clarke et al.,A Formal Evaluation of Data Flow Path Selection Criteria,IEEE Trans.Software Eng.,Vol.15,No.11,pp.1318-1332,1989.
    [161]L.A.Clarke,A System to Generate Test Data and Symbolically Execute Programs,IEEE Trans.Software Eng.,Vol.2,No.3,pp.215-222,1976.
    [162]Y.Zhang and R.Gupta,Data Compression Transformations for Dynamically Allocated Data Structures,International Conference on Compiler Construction,LNCS 2304,Springer Verlag,pages 14-28,April 2002.
    [163]M.H.Lipasti and J.P.Shen,Exceeding the Dataflow Limit via Value Prediction,29th IEEE/ACM International Symposium on Microarchitecture(MICRO),pp.226-237,Dec.1996.
    [164]J.Yang,R.Gupta,Frequent Value Locality and its Applications,ACM Transactions on Embedded Computing Systems(TECS),special inaugural issue on memory systems,Vol.1,No.1,pp.79-105,November 2002.
    [165]S.Rubin,R.Bodik,and T.Chilimbi,An Efficient Profile Analysis Framework for Data Layout Optimizations,The 29th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages(POPL),Portland,Oregon,pp.140-153,Jan.2002.
    [166]T.M.Chilimbi and M.Hirzel.Dynamic Hot Data Stream Prefetching for General-Purpose Programs,ACM SIGPLAN Conference on Programming Language Design and Implementation(PLDI),pp.199-209,May 2002.
    [167]D.Joseph and D.Grunwald,Prefetching Using Markov Predictors,International Symposium on Computer Architecture(ISCA),pp.252-263,1997.
    [168]Dieter Kranzlmuller,Siegfried Grabner,Jens Volkert Event Graph Visualization for Debugging Large Applications,SPDT'96,Philadelphia PA,USA,pp.108-117,May,1996.
    [169]Aaron J.Goldberg and John L.Hennessy,Mtool.An Integrated System for Performance Debugging Shared Memory Multiprocessor,IEEE Trans.On Parallel and Distributed Systems,4(1):28-40,Jan.1993.
    [170]蒋增荣,曾永泓,余品能.快速算法.长沙:国防科技大学出版社,1998.
    [171]王耀华.FFT算法在异构多核DSP上的映射.工学学士学位论文,长沙:国防科学技术大学研究生院,6,2007.
    [172]Karl Pet& and Robert C.Hansen.Profile Guided Code Positioning.Proceedings of the ACM SIGPLAN'SO Conference on Programming Language Design and Implementation.White Plains,New York,pp.16-27,June 1990.
    [173]Nikolas Gloy,Michael D.Smith.Procedure Placement Using Temporal-Ordering Information.ACM Transactions on Programming Languages and Systems,Vol.21,No.5,pp.977-1027,September 1999.--与[154]相同
    [174]Hashemi,D.R.Kaeli,and B.Calder.Efficient Procedure Mapping using Cache Line Coloring.In Proceedings of the Int.Conference on Programming Language Design and Implementation,pp.171-182,June 1997.
    [175]J.Kalamatianos and D.R.Kaeli,Temporal-Based Procedure Reordering for Improved Instruction Cache Performance,Proc.Fourth Int'l Conf.High Performance Computer Architecture,pp.244-253,Feb.1998.
    [176]A.Smith.Sequential program prefetching in memory hierarchies.IEEE Computer,11(2):7-21,1978.
    [177]J E Smith,W -C Hsu.Prefetching in supercomputer instruction caches.Proceedings of the ACM/ IEEE conference on Supercomputing,pp.588-597,Nov.1992.
    [178]J Pierce,T Mudge.Wrong-path instruction prefetching.The 29th International Symposium on Microarchitecture.Paris:IEEE Computer Society Press,pp.165-175,1996.
    [179]D Joseph,D Grunwald.Prefetching using markov predictors.IEEE Trans.Computers 48(2):121-133,1999.
    [180]Chi-Keung Luk,Todd C.Mowry:Architectural and compiler support for effective instruction prefetching:a cooperative approach.ACM Trans.Computer System 19(1):71-109,2001.
    [181]沈立,王志英,鲁建壮,戴葵.基于控制流的混合指令预取.电子学报,31(8):1141-1145.2003.
    [182]C.Xia,J.Torrellas.Instruction prefetching of systems codes with layout optimized for reduced cache misses,23rd ISCA,pp.271-282,1996.
    [183]Thomas R.Puzak,Allan Hartstein,Philip G.Emma,Viii Srinivasan.When prefetching improves/degrades performance.Conf.Computing Frontiers,pp.342-352,2005.
    [184]Jeremy Lau,Erez Perelman,and Brad Calder.Selecting Software Phase Markers with Code Structure Analysis.In Proceedings of the International Symposium on Code Generation and Optimization,pp.135-146,2006.
    [185]Chang-Burm Cho and Tao Li,Complexity-based Program Phase Analysis and Classification,International Conference on Parallel Architectures and Compilation Techniques,September 2006.
    [186]Xipeng Shen,Yutao Zhong,Chen Ding.Locality phase prediction.In Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems,pp.165-176,2004.
    [187]T.Sherwood,S.Sair,and B.Calder.Phase tracking and prediction.In 30th Annual International Symposium on Computer Architecture,pp.336-349,June 2003.
    [188]T.Sherwood,E.Perelman,G.Hamerly,and B.Calder.Automatically characterizing large scale program behavior.In Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems,pp.45-57,October 2002.
    [189]Dhodapkar and J.E.Smith.Managing multi-configuration hardware via dynamic working set analysis.In 29th Annual International Symposium on Computer Architecture,pp.233-244,May 2002.
    [190]M.Merten,A.Trick,R.Bames,E.Nystrom,C.George,J.Gyllenhaal,and Wen mei W.Hwu.An architectural framework for run-time optimization.IEEE Transactions on Computers,50(6):567-589,June 2001.
    [191]R.D.Barnes,E.M.Nystrom,M.C.Merten,and W.W.Hwu.Vacuum packing:Extracting hardware-detected program phases for post-link optimization.In 35th International Symposium on Microarchitecture,pp.233-244,December 2002.
    [192]Aho AV,Sethi R,Ullman JD.Compilers,Principles,Techniques,and Tools.New York:Addison-Wesley,1997.
    [193]苏伯珙,汤志忠.一个基于软件流水技术的VLIW体系结构.计算机学报,15(7):481-490,1992.
    [194]Timothy K.Tsai,Mei-Chen Hsueh,Hong Zhao,Zbigniew Kalbarczyk:Ravishankar K.Iyer.Stress-Based and Path-Based Fault Injection.IEEE Trans.Computers 48(11):1183-1201,1999.
    [195]陈莉丽,扈啸,陈吉华,陈书明.异构多核DSP/SoC的同步调试设计,中国计算机学会第十届计算机工程与工艺学术年会.pp.193-196,桂林,8,2006.
    [196]李杰.YHFT-QDSP的片上实时调试结构设计与实现.工程硕士学位论文,长沙:国防科学技术大学研究生院,6,2007.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700