用户名: 密码: 验证码:
片上网络的建模仿真与性能优化研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
得益于半导体工艺和VLSI设计技术的迅猛发展,嵌入式系统能够胜任越来越复杂和高性能需求的工作。然而工艺尺寸的持续减小和嵌入式应用对带宽需求的增加,使得传统总线式的片上通信架构面临着巨大的挑战。片上网络以其出色的扩展性、灵活性和传输并行性等特点,受到广泛的关注,成为替代传统总线的新兴通信架构。
     片上网络具有多样化的拓扑结构、复杂的通信协议和众多的配置参数,构成了巨大的设计空间。如何从中选择最适合的架构,是设计初期应解决的重要问题之一。本文提出了一个适用于大规模设计空间搜索和参数优化的解析模型,它以M/M/1/K排队系统为基础,可应用于任意拓扑结构,不仅计算了平均延迟和吞吐量等基本性能信息,还可以分析各路由器中等待延迟的空间分布状况,能够快速定位拥塞区域。该模型的创新点在于提出了一种基于路径分解的性能评估方法,它利用路由信息对共享链路进行拆解和分类,分析和量化了虫孔交换中链路相关性对传输延迟的影响,提高了建模精度。
     解析模型基于统计方法和数学公式来分析网络行为,抽象层次高,运行速度快,在处理静态的、可量化的系统参数方面具有较好的效果。但是,对于动态可配置的架构、复杂的流控策略以及难以量化的因素,解析模型则较难处理,还需要借助于精确度更高的仿真工具。本文设计了一款时钟精确的片上网络仿真器。它细粒度的模拟了路由器的流水线结构和控制逻辑,不仅支持多虚通道的管理和分配、虫孔交换方式、基于信用量的流控机制等通信技术,在网络规模、流量模式以及缓存大小等参数配置方面也具有很好的灵活性。通过对不同参数的片上网络进行仿真分析,可以看出拓扑结构、虚通道数目和缓存深度等因素对通信性能的影响,从而帮助设计者优化互联架构和改善通信质量。
     在对片上网络进行合理建模和精确模拟的基础上,本文提出了一种基于非均匀带宽异构网络的吞吐量优化方法。通过分析影响网络吞吐量的关键因素,提出了基于通信量和基于通道利用率两种不同的通信容量规划策略,在有限的连线资源下优化通道带宽,提高通信性能。基于通信量的带宽分配方案只考虑了流量负载在不同链路上的分布比例。基于通道利用率的方案使用了前面建立的NoC解析模型,能够兼顾通信量、链路依赖关系和资源利用率等多种因素,在预测拥塞位置方面表现更好。为了实现不同位宽链路之间的数据匹配和交换,本文还设计了一款多端口路由器,它采用非全连通的交叉开关以降低硬件开销。最后,仿真模型验证了非均匀带宽异构网络在吞吐量改善方面的效果。
Embedded systems benefit from fast development of semiconductor technology and VLSI design methodologies, and they improve greatly and steadily in performance to meet the needs of complex and computationally intensive applications. However, the fact that the device feature size is continuously shrinking and the bandwidth requirements are increasing, challenges the traditional bus-based communication architectures. Networks on Chip (NoCs) have emerged as a promising alternative because of their excellent scalability, flexibility and transaction-level parallelism.
     NoCs have diverse topologies, complicated communication protocols and various configuration parameters. How to choose an optimal architecture from the enormous design space is a common and important problem in the early design stages. We present a general analytical model for large space explorations and design optimizations. It is developed based on M/M/1/K queuing system and not limited to certain topologies. It can provide useful performance information, including average latency, throughput and waiting time distribution in routers. Besides, we propose the routing path decomposition approach to analyze and quantify the influence of link dependencies on latency. It resolves the inherent dependency of successive links occupied by one packet in wormhole routing, and improves evaluation accuracy.
     Analytical tools model network behaviors based on statistical methods and mathematical theories. They feature high-level modeling and fast evaluation speed, and are helpful in analyzing static and quantitative parameters of well-defined systems. On the other hand, accurate simulation tools outperform in measuring dynamic and qualitative factors of complex systems. We present a cycle-accurate NoC simulator, which emulates the pipeline stages and control logic in routers at a flit level. It provides good supports for various communication techniques, such as virtual channel flow control, wormhole routing, credit-based flow control, etc. The simulator is flexible in configurations and can evaluate assorted topologies, traffic patterns and router architectures. Effects of these parameters on communication performce can be revealed intuitively through simulating NoCs with different configurations. As so. the simulator is a useful tool for designers in optimizing interconnect architectures and improving communition quality.
     Equipped with the analytical model and the accurate simulator, we design a throughput optimization approach based on non-uniform link capacity allocation. We first analyze the key factors which influence NoC throughput, and propose two methods of channel bandwidth planning, one is based on traffic volume, and the other is based on channel utilization derived by the analytical model. Allocation based on traffic volume only considers workload distribution, while the solution based on channel utilization takes resource utilization and link dependency into account as well as the workload. Therefore, the latter performs better in locating congestion. We present a multi-port router to connect links of different bandwidth. It employs limited-connected crossbar to reduce hardware cost. Improvement on throughput of our proposed heterogeneous NoC is validated by simulation results.
引文
[1]International technology roadmap for semiconductors 2010 update overview:ITRS,2010.
    [2]Krishnan R, Future of embedded systems technology:BCC, Inc., June 2005.
    [3]潘赞.CK-CPU嵌入式系统开发教程[M].科学出版社,2011.
    [4]Dutta S, Jensen R, Rieckmann A. Viper:A multiprocessor SOC for advanced set-top box and digital TV systems[J]. Design & Test of Computers, IEEE,2001,18(5):21-31.
    [5]Aliain Artieri, Viviana D'Alto, Richard Chesson, et al. NomadikTM open multimedia platform for next-generation mobile devices[J]. Technical Article,2003,TA305.
    [6]Helmig J, Developing core software technologies for TI's OMAPTM platform:Texas Instruments, 2002.
    [7]Pham D, Asano S, Bolliger M, et al. The design and implementation of a first-generation CELL processor:Solid-State Circuits Conference,2005 Digest of Technical Papers ISSCC 2005 IEEE International,10-10 Feb.2005,2005[C].184-592 Vol.181.
    [8]Bell S, Edwards B, Amann J, et al. TILE64 processor:A 64-core SoC with mesh interconnect, San Francisco, CA, United states,2008[C]. Institute of Electrical and Electronics Engineers Inc.: 88-89+598+581.
    [9]Truong DN, Cheng WH, Mohsenin T, et al. A 167-processor computational platform in 65 nm CMOS[J]. IEEE Journal of Solid-State Circuits,2009,44(4):1130-1144.
    [10]Phi-Hung Pham, Phuong Mau, Kim C. A 64-PE folded-torus intra-chip communication fabric for guaranteed throughput in Network-on-Chip based applications:Custom Integrated Circuits Conference. 2009 CICC'09 IEEE,13-16 Sept.2009,2009[C].645-648.
    [11]Vangal SR, Howard J, Ruhl G, et al. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS[J]. IEEE Journal of Solid-State Circuits,2008,43(1):29-41.
    [12]Howard J, Dighe S, Hoskote Y, et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS:Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2010 IEEE International,7-11 Feb.2010,2010[C].108-109.
    [13]Dally W, Towles B. Principles and Practices of Interconnection Networks[M]. Morgan Kaufmann Publishers Inc.,2003.
    [14]Dally WJ, Towles B. Route packets, not wires:on-chip interconnection networks:Design Automation Conference,2001 Proceedings,2001 [C].684-689.
    [15]Henkel J, Wolf W, Chakradhar S. On-chip networks:a scalable, communication-centric embedded system design paradigm:VLSI Design,2004 Proceedings 17th International Conference on,2004[C]. 845-851.
    [16]Henkel J. Closing the SoC design gap[J]. Computer,2003,36(9):119-121.
    [17]Ron H, W. MK, A. HM. The future of wires[M].New York, NY, ETATS-UNIS:Institute of Electrical and Electronics Engineers,2001:490-504.
    [18]Bjerregaard T, Mahadevan S. A survey of research and practices of network-on-chip[J]. ACM Computing Surveys,2006,38(1):71-121.
    [19]Marculescu R, Ogras UY, Peh LS, et al. Outstanding Research Problems in NoC Design:System. Microarchitecture. and Circuit Perspectives[J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2009.28(1):3-21.
    [20]Taylor MB, Kim J, Miller J. et al. The Raw Microprocessor:A Computational Fabric for Software Circuits and General-Purpose Programs[J]. IEEE Micro,2002,22(2):25-35.
    [21]Goossens K, Dielissen J, Radulescu A. AEthereal network on chip:concepts, architectures, and implementations[J]. Design & Test of Computers, IEEE,2005.22(5):414-421.
    [22]Kumar S, Jantsch A, Soininen JP, et al. A network on chip architecture and design methodology:VLSI, 2002 Proceedings IEEE Computer Society Annual Symposium on,2002[C].105-112.
    [23]Guerrier P, Greiner A. A generic architecture for on-chip packet-switched interconnections: Proceedings of the conference on Design, automation and test in Europe, Paris, France, [C].343776:ACM. 2000:250-256.
    [24]Bainbridge J, Furber S. Chain:a delay-insensitive chip area interconnect[J]. Micro, IEEE,2002.22(5): 16-23.
    [25]Bjerregaard T, Sparso J. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip, Munich, Germany,2005[C]. Institute of Electrical and Electronics Engineers Inc., Piscataway, NJ 08855-1331, United States:1226-1231.
    [26]Jalabert A, Murali S, Benini L, et al. Xpipescompiler:A tool for instantiating application specific networks on chip, Paris, France,2004[C]. Institute of Electrical and Electronics Engineers Computer Society, Piscataway, United States:884-889.
    [27]Keutzer K, Newton AR, Rabaey JM, et al. System-level design:orthogonalization of concerns and platform-based design [M]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on.2000:1523-1543.
    [28]Marculescu R, Bogdan P. The Chip Is the Network:Toward a Science of Network-on-Chip Design[J]. Foundations and Trends in Electronic Design Automation,2009,2(4):371-461.
    [29]Draper JT, Ghosh J. A Comprehensive Analytical Model for Wormhole Routing in Multicomputer Systems[J]. Journal of Parallel and Distributed Computing,1994,23(2):202-214.
    [30]Hu P-C, Kleinrock L. An Analytical Model for Wormhole Routing with Finite Size Input Buffers: Proceedings of the 15th International Teletraffic Congress, Washington, D.C., USA,1997[C].
    [31]Ali M, Welzl M, Adnan A, et al. Using the NS-2 network simulator for evaluating network on chips (NoC)[J].2006 International Conference on Emerging Technologies (IEEE Cat No 06EX1474C)|2006 International Conference on Emerging Technologies (IEEE Cat No 06EX1474C),2006:7 pp.|CD-ROM.
    [32]Dally WJ. Virtual-channel flow control[J]. Parallel and Distributed Systems, IEEE Transactions on, 1992,3(2):194-205.
    [33]Moadeli M, Vanderbauwhede W, Shahrabi A. A Performance Model of Communication in the Quarc NoC:Parallel and Distributed Systems,2008 ICPADS '08 14th IEEE International Conference on,2008[C]. 908-913.
    [34]Ogras UY, Marculescu R. Analysis and optimization of prediction-based flow control in networks-on-chip[J]. ACM Transactions on Design Automation of Electronic Systems,2008,13(1).
    [35]Moadeli M, Shahrabi A, Vanderbauwhede W. Analytical modelling of communication in the rectangular mesh NoC:Parallel and Distributed Systems,2007 International Conference on,2007[C].1-8.
    [36]Moadeli M, Shahrabi A, Vanderbauwhede W, et al. An Analytical Performance Model for the Spidergon NoC:Proceedings of the 21st International Conference on Advanced Networking and Applications, [C].1249859:IEEE Computer Society,2007:1014-1021.
    [37]Hu J, Ogras UY, Marculescu R. System-level buffer allocation for application-specific networks-on-chip router design[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2006,25(12):2919-2933.
    [38]Ogras UY, Marculescu R. Analytical router modeling for networks-on-chip performance analysis. Nice Acropolis, France,2007[C]. Institute of Electrical and Electronics Engineers Inc., Piscataway. NJ 08855-1331, United States:1096-1101.
    [39]Moraveji R, Moinzadeh P, Sarbazi-Azad H. A general mathematical performance model for wormhole-switched irregular networks[J]. Cluster Computing,2009,12(3):285-297.
    [40]Sarbazi-Azad H. A mathematical model of deterministic wormhole routing in hypercube multicomputers using virtual channels[J]. Applied Mathematical Modelling,2003,27(12):943-953.
    [41]Ye TT, Benini L, De Micheli G. Packetized on-chip interconnect communication analysis for MPSoC: Design, Automation and Test in Europe Conference and Exhibition,2003,2003[C].344-349.
    [42]Yi-ran Sun, Shashi Kumar, Jantsch A. Simulation and Evaluation for a Network on Chip Architecture Using Ns-2:IEEE NorChip Conference,2002[C].
    [43]OPNET [M].http://www.opnet.com.
    [44]NS-2 [M]. http://www.isi.edu/nsnam/ns/.
    [45]C. J. Hughes, V. S. Pai, P. Ranganathan, et al. RSIM:simulating shared-memory multiprocessor with ILP processors[J]. IEEE Computer,2002,35(2).
    [46]Worm_sim [M]. http://www.ece.cmu.edu/~sld/software/worm_sim.php.
    [47]Whelihan D.NoCSim [M]. http://research.cs.tamu.edu/codesign/nocsim/.
    [48]Hangsheng W, Li-Shiuan P, Malik S. Power-driven design of router microarchitectures in on-chip networks:Microarchitecture,2003 MICRO-36 Proceedings 36th Annual IEEE/ACM International Symposium on,2003[C].105-116.
    [49]NIRGAM [M]. http://nirgam.ecs.soton.ac.uk/.
    [50]Communication Synthesis Infrastructure [M].http://embedded.eecs.berkeley.edu/cosi/.
    [51]Bertozzi D, Jalabert A, Murali S, et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip[J]. IEEE Transactions on Parallel and Distributed Systems,2005.16(2): 113-129.
    [52]Kahng AB, Bin L, Peh L-S, et al. ORION 2.0:A fast and accurate NoC power and area model for early-stage design space exploration, Nice, France,2009[C]. Institute of Electrical and Electronics Engineers Inc.:423-428.
    [53]Kogel T, Doerper M, Wieferink A, et al. A Modular Simulation Framework for Architectural Exploration of On-Chip Interconnection Networks, Newport Beach, CA, United States,2003[C]. Institute of Electrical and Electronics Engineers Computer Society, Piscataway, NJ 08855-1331, United States:7-12.
    [54]Palermo C, Silvano C. PIRATE:A framework for power/performance exploration of network-on-chip architectures[J]. Integrated Circuit and System Design,2004,3254:521-531.
    [55]王坚,李玉柏,蒋勇男.片上网络通信性能分析建模与缓存分配优化算法[J].电子与信息学报,2009,(05).
    [56]赖明澈,王志英,戴葵.基于路由器解析式模型的NoC网络性能分析方法[J].计算机辅助设计与图形学学报,2009,(03).
    [57]Al Faruque MA, Henkel J. Minimizing virtual channel buffer for routers in on-chip communication architectures. Piscataway. NJ 08855-1331. United States.2008[C]. Institute of Electrical and Electronics Engineers Inc.:1238-1243.
    [58]Guz Z, Walter Ih, Bolotin E, et al. Efficient link capacity and QoS design for network-on-chip, Munich. Germany,2006[C]. Institute of Electrical and Electronics Engineers Inc., Piscataway, NJ 08855-1331, United States,3.6:1-6.
    [59]Huang T-C, Ogras UY, Marculescu R. Virtual channels planning for networks-on-chip, San Jose, CA. United States,2007[C]. Institute of Electrical and Electronics Engineers Computer Society, Piscataway, NJ 08855-1331, United States:879-884.
    [60]Jantsch A, Tenhunen H. Networks on chip[M]. Hingham, MA:Kluwer,2003.
    [61]Benini L, De Micheli G. Networks on chips:A new SoC paradigm[J]. IEEE Computer,2002,35(1): 70-78.
    [62]Hemani A, Jantsch A, Kumar S, et al. Network on chip:An architecture for billion transistor era:the Proceedings of the IEEE NorChip Conference,2000[C].166-173.
    [63]Lee HG, Chang N, Ogras UY, et al. On-chip communication architecture exploration:A quantitative evaluation of point-to-point, bus, and network-on-chip approaches[J]. ACM Trans Des Autom Electron Syst, 2008,12(3):1-20.
    [64]Varatkar GV, Marculescu R. On-chip traffic modeling and synthesis for MPEG-2 video applications[J]. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,2004,12(1):108-119.
    [65]Murali S, Benini L, De Micheli G. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees:Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference,2005[C].27-32.
    [66]Pande PP, Grecu C, Jones M, et al. Effect of traffic localization on energy dissipation in NoC-based interconnect:Circuits and Systems,2005 ISCAS 2005 IEEE International Symposium on,2005[C]. 1774-1777 Vol.1772.
    [67]Hua H, Mineo C, Schoenfliess K, et al. Exploring compromises among timing, power and temperature in three-dimensional integrated circuits:Proceedings of the 43rd annual Design Automation Conference, San Francisco,CA, USA, [C].1147161:ACM,2006:997-1002.
    [68]Puttaswamy K, Loh GH. Thermal analysis of a 3D die-stacked high-performance microprocessor: Proceedings of the 16th ACM Great Lakes symposium on VLSI, Philadelphia, PA, USA, [C].1127915: ACM,2006:19-24.
    [69]Park D, Eachempati S, Das R, et al. MIRA:A multi-layered on-chip interconnect router architecture, New York, NY 10016-5997, United States,2008[C]. Institute of Electrical and Electronics Engineers Inc.: 251-261.
    [70]Ost L, Mello A, Jose, et al. MAIA:a framework for networks on chip generation and verification: Proceedings of the 2005 Asia and South Pacific Design Automation Conference, Shanghai, China, [C]. 1120741:ACM,2005:49-52.
    [71]Abad P, Puente V, Prieto P, et al. Rotary router:An efficient architecture for CMP interconnection networks, New York, NY 10016-5997, United States,2007[C]. Institute of Electrical and Electronics Engineers Inc.:116-125.
    [72]Kim J. Low-Cost Router Microarchitecture for On-Chip Networks:42nd Annual IEEE/ACM International Symposium on Microarchitecture,2009[C].255-266.
    [73]Li-Shiuan P, Dally WJ. A delay model for router microarchitectures[J]. Micro, IEEE.2001.21(1): 26-34.
    [74]Mullins R, West A, Moore S. Low-latency virtual-channel routers for on-chip networks:Computer Architecture,2004 Proceedings 31st Annual International Symposium on,2004[C].188-197.
    [75]Krishna T, Kumar A, Chiang P, et al. NoC with near-ideal express virtual channels using global-line communication[J].2008 16th IEEE Symposium on High Performance Interconnects,2008:11-20.
    [76]Mello A, Tedesco L, Calazans N, et al. Virtual channels in networks on chip:Implementation and evaluation on hermes NoC, Piscataway, NJ 08855-1331, United States,2005[C]. Institute of Electrical and Electronics Engineers Computer Society:178-183.
    [77]Nelson VP. Fault-tolerant computing:fundamental concepts[J]. Computer,1990,23(7):19-25.
    [78]Angiolini F, Meloni P, Carta S, et al. Contrasting a NoC and a traditional interconnect fabric with layout awareness, Munich, Germany,2006[C]. Institute of Electrical and Electronics Engineers Inc., Piscataway, NJ 08855-1331, United States:1656862.
    [79]Pande PP, Grecu C, Jones M, et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures[J]. IEEE Transactions on Computers,2005,54(8):1025-1040.
    [80]Nicopoulos CA, Park D, Kim J, et al. ViChaR:A dynamic Virtual Channel Regulator for Network-on-Chip Routers, Orlando, FL, United States,2006[C]. Institute of Electrical and Electronics Engineers Computer Society, Piscataway, NJ 08855-1331, United States:333-344.
    [81]ShaoJun YSLLW. Buffer planning for application-specific networks-on-chip design[J]. Science in China(Series F:Information Sciences),2009,(04).
    [82]Nousias I, Arslan T. Wormhole Routing with Virtual Channels using Adaptive Rate Control for Network-on-Chip (NoC):Adaptive Hardware and Systems,2006 AHS 2006 First NASA/ESA Conference on,2006[C].420-423.
    [83]Kim JS, Taylor MB, Miller J, et al. Energy characterization of a tiled architecture processor with on-chip networks:Low Power Electronics and Design,2003 ISLPED '03 Proceedings of the 2003 International Symposium on,2003[C].424-427.
    [84]Kumar A, Peh L-S, Kundu P, et al. Toward ideal on-chip communication using express virtual channels[J]. IEEE Micro,2008,28(1):80-90.
    [85]Mirza-Aghatabar M, Koohi S, Hessabi S, et al. An adaptive approach to manage the number of virtual channels, Piscataway, NJ 08855-1331, United States,2008[C]. Institute of Electrical and Electronics Engineers Inc.:353-358.
    [86]Ogras UY, Marculescu R, Marculescu D, et al. Design and management of voltage-frequency island partitioned networks-on-chip[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2009,17(3):330-341.
    [87]Narayana S. On-chip communication hardware resources for globally asynchronous and locally synchronous systems, Piscataway, NJ 08855-1331, United States,2005[C]. Institute of Electrical and Electronics Engineers Computer Society:208-213.
    [88]Ogras UY, Marculescu R, Choudhary P, et al. Voltage-frequency island partitioning for GALS-based networks-on-chip, San Diego, CA, United States,2007[C]. Institute of Electrical and Electronics Engineers Inc., Piscataway, NJ 08855-1331, United States:110-115.
    [89]Jantsch A, Tenhunen H. Will networks on chip close the productivity gap[J]. Networks on chip,2003: 3-18.
    [90]International technology roadmap for semiconductors 2001 Edition:ITRS,2001.
    [91]Bjerregaard T, Mahadevan S. Olsen RG.et al. An OCP compliant network adapter for GALS-based SoC design using the MANGO network-on-chip. Tampere, Finland,2005[C]. Institute of Electrical and Electronics Engineers Computer Society, Piscataway, NJ 08855-1331, United States:171-174.
    [92]Ogras UY,Marculescu R, Marculescu D. Variation-adaptive feedback control for networks-on-chip with multiple clock domains, Piscataway, NJ 08855-1331, United States,2008[C]. Institute of Electrical and Electronics Engineers Inc.:614-619.
    [93]Yu Q, Ampadu P. Adaptive error control for nanometer scale network-on-chip links[J]. IET Computers and Digital Techniques,2009,3(6):643-659.
    [94]Rezazadeh A, Fathy M, Rahnavard G. An enhanced fault-tolerant routing algorithm for mesh network-on-chip, Hangzhou, Zhejiang, China,2009[C]. IEEE Computer Society:505-510.
    [95]Bakhouya M, Suboh S, Gaber J, et al. Analytical modeling and evaluation of on-chip interconnects using network calculus, San Diego, CA, United states,2009[C]. IEEE Computer Society:74-79.
    [96]Bogdan P. Statistical physics approaches for network-on-chip traffic characterization[M].2009:461.
    [97]Varatkar G,Marculescu R. Traffic analysis for on-chip networks design of multimedia applications: Design Automation Conference,2002 Proceedings 39th,2002[C].795-800.
    [98]Norros I. On the use of fractional Brownian motion in the theory of connectionless networks[J]. Selected Areas in Communications, IEEE Journal on,1995,13(6):953-962.
    [99]Kermani P, Kleinrock L. Virtual cut-through:A new computer communication switching technique[J]. Computer Networks (1976),1979,3(4):267-286.
    [100]Ni LM, McKinley PK. A survey of wormhole routing techniques in direct networks[J]. Computer, 1993,26(2):62-76.
    [101]Qian Y, Lu Z, Dou W. Analysis of worst-case delay bounds for best-effort communication in wormhole networks on chip, San Diego, CA, United states,2009[C]. IEEE Computer Society:44-53.
    [102]Moadeli M, Vanderbauwhede W. A communication model of broadcast in wormhole-routed networks on-chip, Bradford, United kingdom,2009[C]. Institute of Electrical and Electronics Engineers Inc.:315-322.
    [103]Safaei F, Khonsari A, Fathy M, et al. Adaptive wormhole routing in tori with faults:A mathematical approach[J]. Simulation Modelling Practice and Theory,2009,17(9):1468-1484.
    [104]Moadeli M, Vanderbauwhede W, Shahrabi A, et al. Modeling Differentiated Services-Based QoS in Wormhole-Routed NoCs:Advanced Information Networking and Applications,2008 AINA 2008 22nd International Conference on,2008[C].942-949.
    [105]Taktak S, Desbarbieux J-L, Encrenaz E. A tool for automatic detection of deadlock in wormhole networks on chip[J]. ACM Transactions on Design Automation of Electronic Systems,2008.13(1):6.
    [106]Bahn JH, Bagherzadeh N. Design of simulation and analytical models for a 2D-meshed asymmetric adaptive router[J]. IET Computers and Digital Techniques,2008,2(1):63-73.
    [107]Arjomand M, Sarbazi-Azad H. A comprehensive power-performance model for NoCs with multi-flit channel buffers, Yorktown Heights, NY, United states,2009[C]. Association for Computing Machinery:470-478.
    [108]Krimer E, Erez M, Keslassy I, et al. Packet-level static timing analysis for NoCs, San Diego. CA, United states,2009[C]. IEEE Computer Society:88.
    [109]Kiasari AE, Rahmati D, Sarbazi-Azad H, et al. A Markovian Performance Model for Networks-on-Chip:Parallel, Distributed and Network-Based Processing.2008 PDP 2008 16th Euromicro Conference on,2008[C].157-164.
    [110]陆传赉.排队论[M].北京:北京邮电学院出版社.2008.
    [111]Cai L, Gajski D. Transaction level modeling:an overview:Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, Newport Beach, CA, USA, [C].944651:ACM,2003:19-24.
    [112]Kumar A, Kundu P, Singh AP, et al. A 4.6Tbits/s 3.6GHz Single-cycle NoC Router with a Novel Switch Allocator in 65nm CMOS[J].2007 leee International Conference on Computer Design, Vols,1 and 2,2007:63-70.
    [113]Latif K, Rahmani A, Liang G, et al. PVS-NoC:Partial Virtual Channel Sharing NoC Architecture: Parallel, Distributed and Network-Based Processing (PDP),201119th Euromicro International Conference on,9-11 Feb.2011,2011 [C].470-477.
    [114]Ogras UY, Marculescu R. "It's a small world after all":NoC performance optimization via long-range link insertion[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2006,14(7):693-706.
    [115]Palesi M, Kumar S, Catania V. Bandwidth-aware routing algorithms for networks-on-chip platforms[J]. IET Computers and Digital Techniques,2009,3(5):413-429.
    [116]Markovsky Y, Patel Y, Wawrzynek J. Using adaptive routing to compensate for performance heterogeneity, San Diego, CA, United states,2009[C]. IEEE Computer Society:12-21.
    [117]Kim J, Balfour J, Dally WJ. Flattened butterfly topology for on-chip networks[J]. IEEE Computer Architecture Letters,2007,6(2).
    [118]Rahmani A-M, Kamali I, Lotfi-Kamran P, et al. Negative Exponential Distribution traffic pattern for power/performance analysis of Network on chips. New Delhi, India,2009[C]. Inst. of Elec. and Elec. Eng. Computer Society:157-162.
    [119]Glass CJ, Ni LM. The Turn Model for Adaptive Routing:Computer Architecture,1992 Proceedings, The 19th Annual International Symposium on,1992,1992[C].278-287.
    [120]Ge-Ming C. The odd-even turn model for adaptive routing[J]. Parallel and Distributed Systems, IEEE Transactions on,2000,11(7):729-738.
    [121]Chou C-L, Marculescu R. User-centric design space exploration for heterogeneous network-on-chip platforms, Nice, France.2009[C]. Institute of Electrical and Electronics Engineers Inc.: 15-20.
    [122]Grot B, Hestness J, Keckler SW, et al. Kilo-NOC:a heterogeneous network-on-chip architecture for scalability and service guarantees:Proceeding of the 38th annual international symposium on Computer architecture, San Jose, California, USA, [C].2000112:ACM,2011:401-412.
    [123]Seo D, Ali A, Lim W-T, et al. Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks[J]. SIGARCH Comput Archit News,2005,33(2):432-443.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700