片上网络体系结构和关键通信技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
片上网络(NOC)技术是目前多处理器系统中的一种关键技术。对其体系结构和关键通信技术的研究,已经成为解决复杂的多处理器系统中通信瓶颈问题的重要方法之一。随着技术的发展和进步,片上网络技术在一定程度上已经取代了传统的总线技术,成为了相关领域的前沿和热点研究的内容。
     本论文正是在这样的研究背景下,以片上网络及其相关技术为基础,在国家自然科学基金(60575031,复杂SoCs片上通信关键技术研究)的支持下,针对片上网络的体系结构和关键通信技术进行相关研究。在深入理解片上网络发展背景及其应用的前提下,详细分析了片上网络的体系结构和相关技术,对片上网络中的通信问题进行了深入的研究,得出了一些新颖的、具有较强实用意义的技术和研究成果。主要内容包括:
     1.NOC拓扑结构的研究。详细说明基于Mesh和Tours的NOC的结构,分析其性能。提出多维平面(MDP)NOC和色域空间跳转(CDH)NOC,并与其他的NOC结构进行性能比较。
     2.NOC路由节点微结构研究。详细分析NOC中已有的路由节点结构的性能,在其基础上进行改进。提出新的路由节点微结构,包括具有双缓存模式的NOC路由节点和能设置仲裁优先程度的路由节点。
     3.NOC中的通信优化问题研究。主要针对IP之间通信延时的问题进行优化,设计优化思路,提出解决办法。提出了三种不同的方法,包括双模NOC通信结构、绑定ID号的数据传输方式和NOC中的资源优化策略。
     4.基于SystemC语言,设计并实现了用于NOC仿真验证的软件工具——NSVP(NOC仿真验证平台)。
     5.片上网络硬件仿真评估平台的设计和实现。给出了软硬件协同的硬件平台设计思路,实现了能进行NOC硬件仿真验证的平台并给出评估结果。
     片上网络技术已经成为了目前通信研究领域的重要内容,其研究的主要目的就是为了使信号处理系统和通信系统向集成化和复杂化方向发展,提高并行通信能力。本文的创新之处包括:
     1.改进已有的NOC拓扑结构,提出新的适用于较大规模和更复杂NOC系统的结构,评估其性能。
     2.设计并实现不同NOC路由节点的微结构。提出新的路由节点微结构,改进仲裁方式,详细评估其性能。
     3.基于NOC结构、数据交换模式和资源分配策略三个方面,提出三种对NOC各处理器间的通信延时进行优化的新方法。
     4.设计并实现用于NOC仿真验证的软件工具。
     5.为NOC的应用建立硬件仿真平台。
Network on Chip (NOC) is one of the most important technologies in current multi-processor systems. The research of its architecture and key communication technologies has become the important method to settle the problem of communication bottle neck. With the development and progress of the related technologies, NOC has taken the place of the traditional bus structure in a certain extent and becomes the focus and advanced technology in the related fields.
     Based on the previous researches, this paper studies the NOC architecture and its key communication technologies under the support of National Natural Science Fundation of China (60575031). In this paper, the architecture and related technologies of NOC are analyzed in details. The NOC applications and the communication problems among IPs (processors) are deeply researched. Some novel and practical results are also gained in this paper. The major content of this paper shows as follows.
     1. The research of NOC topologies. Discuss the NOC topologies based on the Mesh and Torus, and analyze their performance. Propose the Multi-Dimension Plane (MDP) NOC and Color Domain Hop (CDH) NOC, and compare the performance among different NOC topologies.
     2. The research of the microarchitecture of NOC router. Study the structure of current NOC router and improve its performance. Propose the novel microarchitecture of NOC router, including the double buffer router and customized arbitral priority router.
     3. The research of the optimization problem in NOC communication. For the communication delay among NOC IPs, this paper gives the train of optimization thought and proposes the solutions. There are three different methods, including the dual-mode NOC communication structure, the data transmission mode of binding ID numbers and one strategy of optimizing the NOC resources.
     4. With the SystemC language, we design and implement the tool of NOC simulation and verification—NSVP (NOC Simulation and Verification Platform).
     5. We implement the NOC hardware emulation and evaluation platform. Introduce the design methods of hardware-software cooperation platform. Implement the NOC hardware platform and give the evaluation results.
     The NOC technology has become one of the most important aspects in current communication on chip. Its major purposes are driving the development of the multi-processor system, improving the integration and complexity of communication system, and enhancing the parallel processing capability of on-chip systems. The main innovation points of this paper are shown as follows.
     1. Improve the current direct NOC topologies. Propose the novel structures, which are suited for more scalable and complex NOC, and evaluate their performance.
     2. Based on the implementation of different microarchitecture of NOC router, propose the improving method of the router structure and arbitration mode. Use the novel router to some specific applications and gain the evaluation results of the performance.
     3. In the aspects of the NOC structure, data transmission mode and resource allocation strategy, this paper introduces three methods to optimize the communication delay among different NOC IPs.
     4. Design the software tool for NOC simulation and verification.
     5. Build the hardware emulation platform for NOC applications.
引文
[1] S Kumar, A Jantsch, J P Soininen, et al. A Network on Chip Architecture and Design Methodology. Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI.02), 2002
    
    [2] U Y Ogras, R Marculescu. "It's a Small World After All": NoC Performance Optimization Via Long-Range Link Insertion. Very Large Scale Integration (VLSI) Systems. IEEE Trans, July 2006,14(7):693-706
    [3] W B Toms. Synthesis of Quasi-Delay-Insensitive Datapath Circuits. A thesis submitted to the University of Manchester for the degree of Doctor of Philosophy in the Faculty of Science & Engineering, 2006
    [4] Y Liu. POWER-EFFICIENT EMBEDDED PROCESSING A thesis submitted to the University of Manchester for the degree of Doctor of Philosophy in the Faculty of Engineering and Physical Sciences, School of Computer Science, 2005
    [5] M Dehyadgari, M Nickray, A Afzali-kusha, et al. Evaluation of pseudo adaptive XY routing using an object oriented model for NOC. The 17th International Conference on Microelectronics, 2005.
    [6] D Bertozzi, L Benini. Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE CIRCUITS AND SYSTEMS MAGAZINE. IEEE press, 2004
    [7] A Narasimhan, K Srinivasan, R Sridhar. A High-Performance Router Design for VDSM NoCs. IEEE SOC Conference 2005. IEEE press, 2005:301 - 304
    [8] W J Bainbridge. Asynchronous System-on-Chip Interconnect. thesis submitted to the University of Manchester for the degree of Doctor of Philosophy in the Faculty of Science & Engineering,March 2000
    [9] A Narasimhan, S Divekar, P Elakkumanan, et al. A Low-power Current-mode Clock Distribution Scheme for Multi-GHz NoC-based SoCs. VLSI Design 2005. Jan 2005: 130 - 133
    [10]——. SUNMAP: A tool for automatic topology selection and generation for NoCs. DAC 2004.2004:914-919.
    
    [11] G Ascia, V Catania, M Palesi. Multi-objective mapping for mesh-based NoC architectures.ISSS-CODES 2004. 2004: 182-187
    [12] C Jose, P Ortiz. Design of Components for a NoC-Based MPSoC Platform - Adding a shared memory node to the mNoC. Master of Science thesis Project period, Department of Electrical Engineering, June 30,2005
    [13] J Henkel, W Wolf, S Chakradhar. On-chip networks a scalable, communication-centric embedded system design paradigm, the 17th International Conference on VLSI Design (VLSID'04),2004: 845-851.
    [14] A. Jalabert, et al. xpipesCompiler: A tool for instantiating application specific Networks on Chip. In Proc. DATE, 2004.
    [15] R S Cardoso, M E Kreutz, L Carro, et al. Design space exploration on heterogeneous network-on-chip. IEEE Circuits and Systems, ISCAS 2005. 2005, Vol. 1:428 - 431
    [16] J Xu; W Wolf, J Henkel, et al. A methodology for design, modeling, and analysis of networks-on-chip. Circuits and Systems 2005, ISCAS 2005. IEEE International Symposium on 23-26 May 2005, Vol. 2:1778 -1781
    [17] J Xu, W Wayne. A wave-pipelined on-chip interconnect structure for networks-on-chips. High Performance Interconnects 2003. Proceedings. 20-22 Aug 2003:10 - 14
    
    [18] L Tang, S Kumar. Algorithms and tools for NoC based system design. In Proc. SBCCI, 2003.
    [19] A Hemani, A Jantsch, S Kumar, et al. Network on Chip: An architecture for billion transistor era. Proc. Of the IEEE NorChip Conference, Nov 2000.
    [20] M Forsell and S Kumar. Virtual Distributed Shared Memory for Network on Chip, Proc. of the 19th IEEE NORCHIP Conference, Nov. 12-13,2001, Kista.
    [21] K Lahiri, A Raghunathan, G Lakshminarayana. The LOTTERYBUS on-chip communication architecture. Very Large Scale Integration (VLSI) Systems IEEE Transactions, June 2006,14(6):596-608
    [22] J L Wong, M Potkonjak, S Dey. Optimizing designs using the addition of deflection operations.Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions, Jan. 2004,23(1):50-59
    [23] F. Karim, A. Nguyen, and S. Dey. An interconnect architecture for networking systems on chips.IEEE Micro, September/October 2002,22(5): 36-45
    [24] A Pinto, L P Carloni, and A L Sangiovanni-Vincentelli. Efficient synthesis of networks on chip.ICCD 2003, 2003: 146-150.
    [25] K Anjo, Y Yamada, M Koibuchi, et al. Black-Bus: a new data-transfer technique using local address on networks-on-chips. Parallel and Distributed Processing Symposium 2004. April 2004:10
    [26]L R Zheng.Design and analysis of power integrity in deep submicron system-on-chip circuits.Analog Integrated Circuits and Signal Processing 30,2002:15-29.
    [27]J.Madsen,S.Mahadevan,et al.NoC modeling for system-level multiprocessor simulation.In Proc.RTSS,2003.
    [28]T.Bjerregaard and K.mahadevan.A survey of research and practices of network-on-chip.ACM Computing Surveys.March 2006,vol.38.1-51.
    [29]荆元利,樊晓柳,张盛兵,等.基于片上网络的系统芯片测试研究.微电子学与计算机,2004,21(6):154-159.
    [30]荆元利,樊晓娅.网络互连多线程处理器.计算机工程与应用,2005,41(33):51-53,78.
    [31]周文彪,张岩,毛志刚.片上通信结构--共享总线和NoC的分析与比较.计算机工程与应用,2007,43(15):121-124
    [32]高明伦,杜高明.NoC:下一代集成电路主流设计技术.微电子学,2006,36(4):461-466
    [33]周干民.NoC基础研究:[博士学位论文].合肥:合肥工业大学,2005
    [34]周干民.片上网络:下一代技术.商业文化(学术版),2007,6:193-194
    [35]41朱晓静,胡伟武,马可,等.Xmesh:一个mesh-like片上网络拓扑结构.软件学报,2007,18(9):2194-2204
    [36]杨敏华,谷建华,周兴社.片上网络.微处理机,2006,5:28-33
    [37]39张恒龙,顾华玺,王长山,等.片上网络拓扑结构的研究.中国集成电路,2007,102:42-59
    [38]王峥,顾华玺,杨烨,等.片上网络交换机制的研究.中国集成电路,2007,103:22-27
    [39]陈龙.NoC:基于分组交换网络的SoC设计.中国通信集成电路技术与应用研讨会,2004:12-15
    [40]马立伟,孙义和.片上网络拓朴优化:在离散平面上布局与布线.电子学报,2007.35(5):906-911
    [41]H S Wang,X P Zhu,L S Peh,et al.Orion:a power-performance simulator for interconnection networks.Microarchitecture,2002.(MICRO-35).Nov 2002:294-305
    [42]E Rijpkema,K Goossens,A Radulescu,et al.Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip.Computers and Digital Techniques,IEE Proceedings.Sept 2003,150(5):294-302
    [43]E Bolotin,I Cidon,R Ginosar,et al.QNoC:QoS architecture and design process for network on chip.J.Syst.Architecture:EUROMICRO J.Feb 2004,vol.50:105-128
    [44] H Fuks. A Lawniczak. Performance of data networks with random links. Math. Comput.Simulation, Dec. 1999, vol. 51: 101-117,
    [45] A Adriahantenaina and A Greiner. Micro-network for SoC: implementation of a 32-Port SPIN network.in Proc. DATE, 2003: 1128-1129.
    [46] T Marescaux, J I Mignolet, et al. NoC as hw components of an os for reconfigurable systems.In Proc. FPL, 2003.
    [47] J Duato, A Robles, F Silla, et al. A comparison of router architectures for virtual cut-through and wormhole switching in a NOW environment. 13th International and 10th Symposium on Parallel and Distributed Processing 1999. April 1999:240 - 247
    [48] Z H Lu, A Jantsch. Flit admission in on-chip wormhole-switched networks with virtual channels. System-on-Chip 2004. Nov. 2004:21-24
    [49] N Banerjee, P Vellanki, K S Chatha. A Power and Performance Model for Network-on-Chip Architectures. Design, Automation and Test in Europe Conference and Exhibition 2004.Proceedings, Feb. 2004, Vol.2: 1250 -1255
    [50] W J Dally and B Towles. Router packets not wires: on-chip interconnection networks. DAC,2001
    [51] J Liu, L R Zheng, H Tenhunen. Interconnect intellectual property for Network-on-Chip (NoC).Journal of Systems Architecture. 2004, vol.50: 65-79
    [52] P Vellanid, N Baneiee and K S Chatha. Quality-of-service and error control techniques for mesh based network-on-chip architectures. INTEGRATION, The VLSI Journal. vol. 38.
    [53] C A Zeferino, M E Kreutz, L Carro, et al. A study on communication issues for systems-on-chip.SBCCI 2002. Porto Alegre, Brazil, 2002: 121-126
    [54] J Liang, A Laffely, S Srinivasan, et al. An architecture and compiler for scalable on-chip communication. IEEE VLSI 2004. Amherst, MA, USA, 2004: 711-726
    [55] H N Nguyen, V D Ngo, H W Choi. Realization of Video Object Plane ecoder on On-Chip Network Architecture. ICESS 2005,2005: 256-264
    [56] N Genko, D Atienza, G De Micheli, et al. A novel approach for network on chip emulation. IEEE ISCAS2005. Kobe, Japan, 2005. vol.3: 2365 - 2368.
    [57]——. NEC Boosts TCP/IP Protocol Processing with 10 CPU Cores on One Chip. NEC Corporation, Press Release, May 2003
    [58] E Salminen, T Kangas, V Lahtinen, et al. Benchmarking mesh and hierarchical bus networks in system-on-chip context. SAMOS 2005. Greece, July 2005: 354 - 363.
    [59]R Saleh,S Wilton,S Mirabbasi,et al.System-on-Chip:reuse and integration.Proceedings of the IEEE.2006,94(6):1050-1069
    [60]T A Bartic,J Y Mignolet,V Nollet.Highly scalable network on chip for reconfigurable systems.International Symposium on System-on-Chip.2003:79-82
    [61]H N Nguyen,V D Ngo,H W Choi.Assessing Routing Behavior on On-Chip-Network.The 2006 International Conference on Computer Engineering and Systems.2006:62-65
    [62]L Benini,G D Micheli.Networks on Chips:A New SoC Paradigm.IEEE Computers.Jan.2002:70-78
    [63]A Jantsch,H Tenhunen.Networks on Chip.Kluwer Academic Publishers,2003.
    [64]W.Cesario,et al.Component-Based Design Approach for Multi-Core SoCs.DAC 2002.June 2002:789-794,
    [65]E B Van der Tol,E G T Jaspers.Mapping of MPEG-4 Decoding on a Flexible Architecture Platform.SPIE 2002.2002:1-13
    [66]J Xu,W Wolf,.Henkel,et al.A Case Study in Networks-on-Chip Design for Embedded Video.Design,Automation and Test in Europe,March,2004.
    [67]M Dallosso,et al.xpipes:a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs.ICCD 2003.2003:536-539,.
    [68]F Karim,et al.An Interconnect Architecture for Network Systems on Chips.IEEE Micro.Sep.2002 22(5):36-45
    [69]L P Carloni,K L McMillan,A L Sangiovanni-Vincentelli.Theory of latency-insensitive design.IEEE Trans.on CAD of ICs and Systems.Sept.2001,20(9):1059-1076
    [70]P Guerrier,A Greiner.A generic architecture for on-chip packet switched interconnections.Proc.DATE,March 2000:250-256
    [71]S J Lee,et al.An 800MHz Star-Connected On-Chip Network for Application to Systems on a Chip.ISSCC 2003.Feb.2003.
    [72]J Hu,R Marculescu.Energy-Aware Mapping for Tile-based NOC Architectures Under Performance Constraints.SP-DAC 2003.
    [73]L Chen.Software-Based Self-Test and Diagnosis for Processors and System-on-Chips.PhD thesis,University of California,San Diego,June,2003.
    [74]H Zhang,et al.A 1V Heterogeneous Reconfigurable DSP IC for Wireless Baseband Digital Signal Processing.IEEE Journal of S SC,Nov.2000,35(11):1697-1704.
    [75]N Kapre,N Mehta,M deLorimier,et al.Packet switched vs.time multiplexed FPGA overlay networks. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines. Los Alamitos, CA, April 2006: 205-216
    [76] C. Hilton and B. Nelson, PNoC: A flexible circuit-switched NoC for FPGA-based systems. in IEE Proceedings of Computers and Digital Techniques. May 2006,153(3): 181-188
    [77] J Kim, D Park, T Theocharides, et al. A low latency router supporting adaptivity for on-chip interconnects. Proceedings of tlhe 42nd Annual Conference on Design Auitomation. California,June 2005: 559-564
    [78] E Rijpkema, K Goossens and P Wielage. A router architecture for networks on silicon.Proceedings of 2nd Workshop on Embedded Systems. Veldhoven, the Netherlands, October 2001.
    [79] R Mullins, A West and S Moore. Low-latency virtual-channel routers for on-chip etworks.Proceedings of 31st Antnual Interniational Symposium on Computer Architecture, Munich,Gemamy, June 2004: 188-197
    [80] C Zeferino, M Kreutz and A Susin. RASoC: A router soft-core for networks-on-chip. In Proceedings of Design, Automation anid Test in Europe Conference and Exhibition (DATE).Paris, February 2004, vol.3: 198-203.
    [81] A Chien. A cost and speed model for k-ary n-cube wormhole routers. IEEE Transactions on Parallel and Distributed Systems. February 1998,9(2): 29-36
    [82] P Bhojwani and R Mahapatra. Interfacing Cores with On-chip Packet-Switched Networks. IEEE Proceedings on VLSI Design, New Delhi, Jan 2003: 382-387,
    [83] L S Peh and W J Dally. A delay model for router microarchitectures. IEEE Micro. January 2001,21(1): 26-34
    [84] F Gebali. Computer communications networks: Analysis and design. 3rd editioni, Northstar Digital Design, inc. 2005
    [85] J Hu and R Marculescu. Application-specific buffer space allocation for networks-on-chip router design. Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design. CA, November 2004: 354-361
    [86] P Guerrier and A Greiner. A generic architecture for on-chip packetswitched interconnections. In Proceedings of Design anid Test in Eturope (DATE). Paris, March 2000: 250-256
    [87] P Pande, C Grectu, A Ivanov, et al. Design of a switch for network on chip applications. In Proceedings of the 2003 International Symposium on Circuits and Systems. Bangkok, Thailand, May 2003,vol. 5: 217-220
    [88] P P Pande, C Grecu, M Jones, et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Transactions on Computers. August 2005,54(8): 1025-1040
    [89] E Rijpkema, K Goossens, A Radulescu, et al. Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip Design. Design Automation and Test in Europe (DATE) Conference, 2003: 350-355
    [90] M Forsell. A scalable high-performance computing solution for networks on chips. IEEE Micro Magazine, 2002,22(5):46-55
    [91] S Pasricha, N Dutt, M Ben-Romdhane. Fast exploration of bus-based on-chip communication architectures, in Proc. Int. Symp. HW/SW Codesign, 2004: 242-247
    [92] M Caldari, M Conti, M Coppola, et al. Transaction-level models for AMBA bus architecture using systemC 2.0. in Proc. Des. Autom. Test Eur. (DATE) Conf., 2003: 26-31
    [93] K Keutzer, S Malik, A Newton, et al. System Level Design: Orthogonolization of Concerns and Platform-Based Design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems. Dec. 2000:12-19
    [94] D Wingard. MicroNetwork-Based Integration of SOCs. Proc. of the 38th Design Automation Conference. Jun. 2001
    [95] M Sgroi. Addressing the System-on-a-Chip Interconnect Woes Through Communication -Based Design. Proc. of the 38th Design Automation Conference. Jun. 2001
    [96] L Benini and G DeMicheli. Powering Networks on Chip. Proc. of the 14th Int. Symp. on System Synthesis. Oct. 2001: 33-38
    [97] P Panda, N D Dutt and A Nicolau. Local Memory Exploration and Optimization in Embedded Systems. IEEE Trans. on Computer Aided Design of Integrated Circuits and Systems. 1999,18(1): 3-13
    [98] A Hemani, et al. Lowering power consumption in clock by using Globally Asynchronous Locally Synchronous Design style. Proc. of Design Automation Conference, USA, 1999
    [99] Y R Sun. Simulation and Performance Evaluation for Network on Chip. MSc thesis, Dept. of Microelectronics and Information Technology, Royal Institute of Technology, Stockholm.
    [100] D Pamunuwa, et al. A study of Physical Issues in the design of an on-chip regular communication network. DAC 2002
    [101] R Hofmann, B Drerup. Next generation CoreConnect processor local bus architecture. Annual IEEE International ASIC/SOC Conference, 2002: 221 -225
    [102]G Brebner and D Levi.Networking on chip with platform fpgas.In Proc.FPT,2003.
    [103]徐宁仪,冷祥纶,周祖成.基丁SystemC的支持异源通信实体的NoC仿真架构.半导体技术,2006,31(3):305-309
    [104]J Chan,et al.Nocgen:a template based reuse methodology for NoC architecture.In Proc.ICVLSI,2004
    [105]S Kolson,A Jantsch,et al.A NoC architecture and design methodology.In Proc.Annual Syrup.VLSI,2002
    [106]F Moraes,et al.Hermes:an infrastructure for low area overhead packetswitch.NoC.Integration-VLSI Journal,2004.
    [107]S Pestana,E Rijpkema,et al.Cost-performance trade-offs in NoC:a simulation-based approach.In Proc.DATE,2004.
    [108]A Pinto,et al.Efficient synth.NoC.In Proc.ICCD,2003.
    [109]D Wiklund,S Sathe,et al.NoC simulations for benchmarking.In Proc.IWSoC for Real-Time Apps.2004.
    [110]C Zcferino,M Kreutz,et al.Rasoc:a router soft-core for NoC.In Proc.DATE,2004.
    [111]C Wu,Y B Li,S Chai.Design and Simulation of a Torus Topology for Network On Chip Journal of Systems Engineering and Electronics.(已录用)
    [112]武畅,李玉柏,彭启琮.一种用于Multi-Processor测量系统的NOC结构的路由节点设计及性能分析.电子测量与仪器学报.(已录用)
    [113]武畅,李玉柏,彭启琮,等.可设置仲裁优先程度的NOC路由节点设计.电子科技大学学报(已录用)
    [114]C Wu,Y B Li,S Chai.Design and Simulation of a Torus Structure and Route Algorithm for Network On Chip,ASICON2007,2007:1289-1292
    [115]C Wu,Y B Li,L Li.Networks on Chip:The Key Communication Technology in MP-SoC.ITST2006,2006:1159-1164

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700