VLSI低功耗高层综合设计技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着电路规模的不断扩大,集成度的不断提高,高速率高性能的系统芯片的功耗成为日渐突出的问题。如何设计出低功耗的VLSI,成为当务之急。高层次综合技术是数字系统设计的关键技术,它拥有与许多其他层次相异的特点,因此我们选择了高层次综合技术进行低功耗设计。本文的工作针对高层设计中与功耗相关的电压、频率、互连及拓扑结构等方面展开,研究并解决了其中几项关键问题。
     基于多电压下的物理布局问题,可将一块芯片划分成若干个电压区域,在不同电压操作下信号传送于各区域间。本文提出了一种高层综合方法—Tabu搜索法,目的是在多电压的时间和资源限制下实现集成电路功耗最小化。与仅考虑调度的传统方法不同,本文中的Tabu法同时考虑调度和分区,减小了各功能单元及单元间连线的功耗,并能有效地解决在多电压设计中存在的布局问题。利用Tabu搜索法所得的解决方法以三元矢量的形式从调度和分区两个方面说明,应用Tabu表可以避免解决问题方法的多次反复,Tabu表还配有一个校正作用的期望函数,因此该搜索算法具有快速收敛性,能够在更大范围的解的空间找出最优解。实验表明该算法使得电路的平均功耗下降49.6%左右。另外针对多电压下的布局问题还提出模拟退火(Simulated Annealing)法,在时间和资源限制下实现多电压的集成电路功耗最小化,通过对温度的控制对问题求解进行多次迭代。其优点在于能有效地避免了局部搜索算法陷入局部最优的弊端而收敛于全局最优解。实验证明该算法能有效降低电路功耗。
     降低频率可以降低功耗,但是单纯地降低频率并不能节省能量。只有在降低频率的同时降低电压,才能真正地降低能量的消耗。多电压下基于动态时钟频率的调度也开始被研究,通过改变电压和时钟频率,能够使系统的功耗降低,从而能够获得高的性能。本文采用多电压和动态频率机制,提出了基于时间约束和资源约束的Gain调度算法及分配方法,它包括两个模块: Allocate_F与Allocate_V。算法的输入是没有调度的数据流图及约束条件,包括资源约束,时间约束条件和工作电压,输出为总能耗及执行时间。实验表明该方案能有效地降低功耗,并且提高系统性能。
     此外,基于多电压综合从调度互连两个角度达到低功耗的目的,本文还提出了VLSI高层综合设计方案。该方案基于Gain大小搜索调度,将功耗增益、灵活度和行为执行密度因素作为优先函数,全面地考虑操作的属性。在互连中同时考虑单根总线上的翻转和邻线的耦合。该方案在CDFG工具包中实现并证明了它的有效性,并给出了相应的实验数据。
     多核体系是系统向更大规模发展的趋势。从SoC发展到NoC,其主要过程就是它采用网络的通信方式代替传统总线通信方式。对于片上网络来讲,可从物理设计、软件方法以及网络拓扑三个方面来研究减少功耗的方法,各个组件连接形成的网络性能与网络拓朴结构有很密切关系。本文提出一种NoC拓扑结构—Spidernet,其具有平均最短路径小、可平面化的优点、还具有很好的扩展性,并以网络的主要属性:节点度、网络直径、连通度、平均最短路径和平均最短布线几个方面将其与其他拓朴结构进行比较。实验中采用模拟退火的布局映射算法在不同的拓扑结构上运行基准程序,结果表明提出的网络拓扑结构更适合于将来的SoC的片上网络构造。
     为了实现并证明所提出的低功耗的算法和方法,本文介绍了高层综合设计中的有效工具--CDFG工具包,文中各章节的实验均在CDFG工具包下进行的。它包括CDFG生成器、CDFG到C(VHDL)的转换器、CDFG剖析器、CDFG阅读器。CDFG工具包为高层次综合设计提供了一套方便实用的工具。通过对CDFG工具包的分析,并将其应用于高层次综合设计,验证了工具包高效方便的辅助设计作用。
With today's increasingly large and complex digital integrated circuit (IC) and system-on-chip designs, power dissipation has emerged as a primary design consideration. Reduction of power consumption in VLSI designs can be achieved at various levels of the design hierarchy, ranging from processing technology, circuit, logic, architectural and algorithmic (behavioral) levels, up to system level. It has also been long recognized that the most dramatic power saving is achievable at the algorithm and architecture levels, where computations are normally described using data/control flow graphs. Thus, in this thesis, a multiple supply voltage IC is synthesized at the high level.
     In this thesis, a tabu-search-based behavior level synthesis scheme is proposed to minimize power consumption with resources operating at multiple voltages under the timing and the resource constraints. Unlike the conventional methods where only scheduling is considered, our synthesis scheme considers both scheduling and partitioning simultaneously to reduce power consumption due to the functional units as well as the interconnects among them. In particular, we have configured our solutions as a three-tuple vector to account for both the schedule and the partition. Cycling of the same solutions is prevented by applying a tabu list with an update mechanism enhanced with an aspiration function. In this way, the algorithm can search a large solution space with fast convergence rate. Experiments with a number of DSP benchmarks show that the proposed algorithms achieve an average power reduction by 49.6%.
     In this thesis, a Simulated-Annealing-based behavior level synthesis scheme is proposed to minimize power consumption with resources operating at multiple voltages under the timing and the resource constraints. Our synthesis scheme considers both scheduling and partitioning simultaneously to reduce power consumption due to the functional units as well as the interconnects among them. In particular, we have configured our solutions as a three-tuple vector and have got it by many iterations under the controlled temperature. The advantage of this algorithm is that it can avoid local optimization and converge whole optimization. Experiments with a number of DSP benchmarks show that the proposed algorithm is effective for low power design.
     In this thesis, a scheduling scheme based on dynamic frequency clocking and multiple voltages is proposed for low power designs under the timing and the resource constraints. Unlike the conventional methods at high level synthesis where only voltages of nodes were considered, the scheme based on a gain function considers both voltage and frequency simultaneously to reduce energy consumption. Experiments with a number of DSP benchmarks show that the proposed scheme achieves an effective energy reduction.
     A high level synthesis scheme based on multiple voltages is proposed for low power design in VLSI. This scheme considers both scheduling and interconnection to reduce power. In GAIN scheduling, the priority function includes the power gain, the mobility, and the computation density of an operation. The transition activities on the signal lines and the coupling capacitances of the lines are considered simultaneously in interconnection. This scheme is realized in CDFG Toolkits and its efficiency is proved.
     From System-on-chip to Network-on-chip, the main dissimilarity is replacing the bus with the network. How connect, how formative network function is related to topology structure. In this paper we propose a topology structure for NoC, and compare it with other topology networks by five kinds of mainly properties : node degree, network diameter, connectivity, the average most short-circuit path and the average shortest wire length. Experiment results show that this topology has better performance on cost/performance layout.
     CDFG Toolkits provide a set of software tools convenient and practical for high level synthesis. The CDFG toolkit includes CDFG generator, CDFG to C (VHDL) converter, CDFG parser, and CDFG viewer. The CDFG Toolkits have been proved by our research work.
引文
1. P. Chandrakasan, S. Sheng, and R. W. Brodersen, Low Power CMOS Digital Design, IEEE J. Solid-State Circuits. 1992, 27:473-483
    2.陶仁基,叶晨数字系统高层次设计技术及其发展, 1999,21(9):2-7
    3. R. Mehra, L. M. Guerra, and J. M. Rabaey, Low Power Architectural Synthesis and the Impact of Exploiting Locality, J. VLSI. Signal Processing, 1996(13): 239-258
    4. R.Martin, J. Knight, Power Profiler: Optimizing ASIC’s Power Consumption at the Behavioral Level, Proc. IEEE/ACM Design Automat. Conf., 1995: 42-47
    5.张志敏,常晓涛. SoC低功耗设计技术发展综述.信息技术快报, 2005,3(4)
    6. Arato.P, Vlsegrady.T, Jankovits.I., High-Level Synthesis of Pipelined Datapaths,John Wiley & Sons, Chichester, United Kingdom, first edition, 2001.
    7. S. Raje and M. Sarrafzadeh. Variable Voltage Scheduling. In Proceedings of the 1995 International Workshop Low Power Design, California, United States, 1995:9~14
    8. M. Hamada. A Top-down Low Power Design Technique Using Clustered Voltage Scaling with Variable Supply-voltage Scheme. Proc. of IEEE Custom Integrated Circuits Conf., 1998:495-498.
    9. Yann-Rue Lin. Scheduling Techniques for Variable Voltage Low Power Designs. ACM Trans on Design Automation of Electronic Systems, 1997,2(2): 81-97
    10. Woo-Cheol Kwon, Taewhan Kim. Optimal Voltage Allocation Techniques for Dynamically Variable, DAC 2003, Anaheim, California, USA. June 24,2003
    11. Anand Raghunathan and Niraj K.Jha . Behavioral Synthesis for Low Power. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 1995:318-322
    12. A. Raghunathan, An ILP Formulation for Low Power Based on Minimizing Switched Capacitance During Data Path Allocation, Proc. IEEE Int. Symp. Circuits & Sys., 1995(2): 1069-1073
    13. P. R. Panda, N. D. Dutt. Low-Power Memory Mapping Through ReducingAddress Bus Activity. IEEE Tran. on VLIS Systems. 1999,7(3)
    14. S. Hong, T. Kim. Bus Optimization for Low Power Dta Path Synthesis Based on Network Flow Method. Proc. of ICCAD. 2001
    15. K.W.Kimm, K.-H.Baek , N.Shanbhag , C.L.Liu. Coupling-driven Signal Encoding Scheme for Low Power Interface Design, Proc. Of ICCAD,2000: 318-321
    16. Raghunathan and N. K. Jha, Behavioral Synthesis for Low Power, Proc. IEEE Int. Conf. Computer Design: VLSI Computers and Processors, 1994:318-322
    17. P. E. Landman, High-level Power Estimation, Proc. Int. Symp. Low Power Electronics and Design, 1996:29-25
    18. J. M. Chang, M. Pedram, Energy Minimization Using Multiple Supply Voltages, IEEE Trans. VLSI, 1997, 5: 157-162
    19. M. Sarrafzadah, S. Raje, Scheduling with Multiple Voltages under Resource Constraints, Proc. IEEE Int. Symp. Circuits & Sys., 1999,1: 350-353
    20. N.Kumar, S.Katkoori, L.Rader, and R.Vemuri, Profile-driven Behavioral Synthesis for Low Power VLSI Systems, IEEE Design Test Computers: 70-84
    21. Manzak , C. Chakrabarti, A Lower Power Scheduling Scheme with Resources Operating at Multiple Voltages, IEEE Trans. VLSI, Feb. 2002, 10: 6-14
    22. N.Raanganathan, N.Vijaykrishnan, N.Bhavanishankar. A Linear Array Processor with Dynamic Frequency Clocking for Image Processing Applications. IEEE Trans. on CSVT, 1998,8(4): 435-445
    23. Vamsi Krishna, N.Raanganathan, N. Vijaykrishnan. Energy Efficient Datapath Synthesis Using Dynamic Frequency Clocking and Multiple Voltages. Proc. of the 12th International Conference on VLSI Design, VLSI for the Information Appliance,1999: 440-445.
    24. Saraju P. Mohanty, N. Ranganathan. Energy Efficient Datapath Scheduling Using Multiple Voltages and Dynamic Clocking, ACM Trans on Design Automation of Electronic Systems, 2005,10(2): 330-353.
    25. Kamal S.Khouri, Ganesh Lakshminarayana, High-Level Synthesis of Low-Power Control-Flow Intensive Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1999,18(12)
    26. R.Schreiber, S. Aditya, S.Mahlke, V.Kathail, R.B.Rau, D. Cronquist, High-level Synthesis of Nonprogrammable Hardware Accelerators, 2001. HPL-201-249Technical Report.
    27. Gupta, S.Savoiu. Speculation Techniques for High Level synthesis of Control Intensive Designs, Design Automation Conference, June, 2001
    28. Gupta, S.Savoiu, Conditional Speculation and its Effects on Performance and Area for High-Level Synthesis, InternationalSymposium on System Synthesis, October, 2001.
    29. L. Benini, D. G.Micheli, Networks on Chip: A New Paradigm for Systems on Chip Design, Proc. Design, Automation and Test in Europe, 2002.
    30. Ashok Kumar and Magdy Bayoumi. A Multiple Voltage-based Scheduling Methodology for Low Power in the High Level Synthesis. International Symposium on Circuits and Systems. 1999, 1:371-374
    31. Robert A.Walker. Introduce to the Scheduling Problem. IEEE Design and Test OF Computers, 1995,12(2): 60-69
    32. J. L. Wong, S. Megerian, and M. Potkonjak. Forward-looking Objective Functions: Concepts and Applications in High Level Synthesis, Design Automation Conference, June 2002.
    33.许俊娟,程旭.两种不同前提下的多电压调度对比,计算机辅助设计及图形学学报2006,18(4):545-550
    34. M.C. Johnson and K. Roy. Datapath Scheduling with Multiple Supply Voltages and Level Converters. ACM Trans. on Design Automation of Electronic Systems. 1997,2(3):227-248.
    35. Y-R. Lin, C-T Hwang and A. C-H. Wu. Scheduling Techniques for Variable Voltage Low Power Design. ACM Trans.on Design Automation of Electronic Systems. 1997, 2(2):81-97.
    36. Ashok Kumar and Magdy Bayoumi. A Multiple Voltage-based Scheduling Methodology for Low Power in the High Level Synthesis. International Symposium on Circuits and Systems. Florid, U.S.A, 1999,1:371-374
    37. M. Sarrafzadeh and S. Raje. Scheduling with Multiple Voltages under Resource Constraints. Proc. of ISCAS’99, 1999:350-353
    38. Ashok Kumar, Magdy Bayoumi, and Mohamed Elgamel. A Methodology for Low Power Scheduling with Resources Operating at Multiple Voltages. the VLSI journal 37. 2004:29-62
    39. W. Shiue and C. Chakrabarti. Low Power Scheduling with Resources Operatingat Multiple Voltages. IEEE Trans.Circuits Syst. II 47. 2000, 47(6):536-543
    40. Manzak and C. Chakrabarti. A Lower Power Scheduling Scheme with Resources Operating at Multiple Voltages. IEEE Trans. on VLSI, 2002,10(1): 6-14
    41. W.T. Shiue and C. Chakrabarti. Low Power Scheduling with Resources Operating at Multiple Voltages. IEEE Int. Symp. Circuits and Systems. Monterey, June 1998, 2: 437-440
    42. Salil Raje and M.Sarrafzadeh. Scheduling with Multiple Voltages. Integration, the VLSI journal 1997,23: 37-59
    43. Ling Wang,Yingtao Jiang. A Scheme for Low Power Designs with Multiple Voltages under Timing Constraints. In Proceedings of NASA 11th VLSI Conference, Idaho, USA, May,2003
    44. Wang Ling, Wen Dongxin, Yang Xiaozong and Jiang Yingtao. Synthesis Scheme for Low Power Designs under Timing Constraints. Chinese Journal of Semi-conductors. (半导体学报) 2005,26(2): 287-293
    45.许俊娟,程旭.时间约束调度中功能单元的下限估算,计算机辅助设计及图形学学报2006,18(4): 532-537
    46.李庆华,马丹,张薇.表调度算法的并行化研究.计算机科学2004,17
    47. A. Parikh, Soontae Kim, M. Kandemir, N. Vijaykrishnan M.J. Irwin. Instruction Scheduling for Low Power ,The Journal of VLSI Signal Processing,2004,37(1) 129-149
    48. Dasgupta, R. Karri. Simultaneous Scheduling and Binding for Power Minimization During Microarchitecture Synthesis. Proc. of ISLPED. 1995
    49. M. R. Garey ,D. S. Johnson.Computers and Intractability: A Guide to the Theory of NP-Completeness, Freeman, San Francisco, CA.
    50. Marc S. Bright, Tughrul Arslan. Synthesis of Low-Power DSP Systems Using a Genetic Algorithm, IEEE Transactions on Evolutionary Computation, Vol 5, No. 1, FEBRUARY 2001: 27-40
    51. Arato, P. Mann. Genetic Scheduling Algorithm for High-Level Synthesis, in: Proceedings of the IEEE 6th International Conference on Intelligent EngineeringSystems, 2002.
    52. Chandramouli Gopalakrishnan. Tabu Search Based Behavioral Synthesis of Low Leakage Datapaths, 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Lafayette, LA,
    53. A.Hertz, D. De Werra, The Tabu Search Metaheuristic: How We Used It. Annals of Mathematics and Artificial Intelligence, 1991,1: 111-121
    54. E. L. Mooney , R. L. Rardin, Tabu Search for a Class of Scheduling Problems, Ann. Oper. Res.,1993, 41:253-278
    55. J. Skorin Kapov, Tabu Search applied to the quadratic assignment problem, ORSA J. Computing, 1989,2:33-45
    56.郭茂祖,基于模拟退火算法的抖动模式的研究与实现,哈尔滨工业大学学报, 1997,29(3):56-61
    57.王乃龙,戴宏宇,周润德.用模拟退火算法实现集成电路热布局优化,半导体学报.2003,24(4):427-432
    58. Y. Hae, Schedules for Optimal Annealing, Math. Oper. Res., 1991,13(3): 153-161
    59.邢文训,谢金星.现代优化计算方法.清华大学出版社.2005.9:12-15
    60. B. Hajek, Cooling Schedules for Optimal Annealing, Math. Oper. Res., 1990,13(3):53-61
    61. Osman S. Unsal, Israel Koren . System-Level Power-Aware Design Techniques in Real-Time Systems, Proceedings of the IEEE, JULY 2003,91(7)
    62. Joong-Seok Moon. Low-Power Circuit Techniques for Battery-Powered DSP Applications博士论文, 2003
    63. Woonseok Kim. Performance Comparison of Dynamic Voltage Scaling Algorithms for Hard Real-Time Systems. 2002:67-73
    64. Dongkun Shin , Jihong Kim. Look-ahead Intra-Task Voltage Scheduling Using Data Flow Information. 2001:87-92
    65. J. Pouwelse, K. Langendoen, and H.Sips. Dynamic Voltage Scaling on a Low-Power Microprocessor. In Proc. Of the 7th International Conference on Mobile Computing Network (MOBICOM), Rome, Italy, July 2001:90-94
    66.杨维斌,动态调整电源电压与操作频率以降低系统晶片功率之消耗系统晶片2004,(1): 130-137
    67. Grigorios Magklis,Greg Semeraro. Dynamic Frequency and Voltage Scaling For a Multiple-Clock-Domain Microprocessor, Published by the IEEE Computer Society,2003: 62-68
    68. Greg Semeraro, David H. Albonesi. Dynamic Frequency and Voltage Control for a Multiple Clock. Domain Microarchitecture, Proceedings of the 35th annualACM/IEEE international symposium on Microarchitecture,2002: 356-367
    69. Z. Lu, J. Hein, M. Humphrey, M. Stan, J. Lach, Control-Theoretic Dynamic Frequency and Voltage Scaling for Multimedia Workloads. In Proceedingsof the 3rd ACM/IEEE International Conference on Compilers, Architecture,and Synthesis for Embedded Systems (CASE’01), Greenoble, France, October 2002:156-163
    70. Jinhwan Jeon, Daehong Kim, Dongwan Shin. High-Level Synthesis Under Multi-Cycle Interconnect Delay Design Automation Conference, 2001. Proceedings of the ASP-DAC 2001. Asia and South Pacific. 30 Jan.-2 Feb. 2001: 662– 667
    71. Weidong Wang. High-level Synthesis of Multi-process Behavioral Descriptions. Proceedings of the 16th International Conference on VLSI Design (VLSI’03)
    72. N.Magen, A.Kolodny. Interconnect-Power Dissipation in a Microprocessor ,SLIP’04, February 14-15, 2004, Paris, France.
    73. Alberto Garc′?a Ortiz, Lukusa D. Kabulepa, Estimation of Power Consumption in Encoded Data Buses .Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition (DATE.02)
    74. S. Ramrasad, N. R. Shanbhag, and I. N. Hajj. Analytical Estimation of Signal Transition Activity from Word Level Statistics.IEEE Trans. on CAD, July 1997: 718-733
    75. Pallay,Lin Zhong. A High-level Interconnect Power Model for Design Space Exploration ,Proceedings of the 2003 IEEE/ACM international conference:2003:551-558
    76. D.Sylvester, C.Hu. Analytical Modeling and Characterization of Deep-submicro Meter Interconnect. Proc. of theIEEE, May 2001,89(5):634–664
    77. Wooseung Yang, Chong-MinKyung. Low-Power High-Level Synthesis Using Latche, Design Automation Conference, 2001. Proceedings of the ASP-DAC 2001. Asia and South Pacific:462-465
    78. Lin Zhong, Jiong Luo. Register Binding Based Power Management for High-level Synthesis of Control-Flow Intensive Behavior. Proceedings of the 2002 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD’02)
    79. Lin Zhong, Niraj K. Jha. Interconnect-aware High-level Synthesis for LowPower. Electrical Engineering. 2000:110-111
    80. Zhenyu (Peter) Gu, Jia Wang, Incremental Exploration of the Combined Physical and Behavioral Design Space ,DAC 2005 June 13–17, 2005, Anaheim, California, USA:208-213
    81. Ranga Vemuri, Srinivas Katkoori. An Efficient Register Optimization Algorithm for High-Level Synthesis from Hierarchical Behavioral Specifications . ACM Transactions on Design Automation of Electronic Systems, January 2002,7(1) : 189–216.
    82. P. Sotiriadis and A. Chandrakasan. Bus Energy Minimization by Transition Pattern Coding (TPC) in Deep Sub-micron Technologies.In ICCAD, 2000.
    83. P. R. Panda, N. D. Dutt. Low-Power Memory Mapping Through Reducing Address Bus Activity. IEEE Tran. on VLIS Systems. 1999,7(3)
    84. K.W.Kim, K.H.Back,N.Shanbhag, Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design, Proc. Of IC-CAD, 2000:58-64
    85. A. Dasgupta and R. Karri, Simultaneous Scheduling and Binding for Power Minimization During Microarchitecture Synthesis, Proc. Of ISLPED,1995
    86. J.-M. Chang and M.Pedram, Register Allocation and Binding for Low Power, Proc. of DAC,1996:50-55
    87. S. Hong , T. Kim, Bus Optimization for Low Power Dta Path Synthesis Based on Network Flow Method, Proc. of ICCAD,2001;134-138
    88. Chun-Gi Lyub, Coupling-Aware High-level Interconnect Synthesis for Low Power, International Conference on Computer-Aided Design, 2002: 609-613
    89. Tobias, Shankar. A Survey of Research and Practices of Network-on-Chip, ACM Computing Surveys, March 2006, 38
    90. Jantsch , H. Tenhunen, editors, Networks on Chip, Kluwer Academic Publishers, February 2003.
    91.王宏伟,陆俊林,佟冬,程旭.层次化的片上网络,北京大学学报,2007年1期45-49
    92. Luca Benini ,Giovanni De Micheli, Networks on Chips: A New SoC Paradigm., DATE, Design, Automation and Test in Europe, Paris, March 3 - 7, 2002
    93. Ahmed.Jerraya,HannuTenhunen,Wayne Wolf, Multiprocessor System-on-chips,IEEE Computer magazine, July 2005 , 38(7)
    94. J. Dielissen, A. R_adulescu, K. Goossens, and E. Rijpkema, Concepts and implementation of the Philips network-on-chip, IP-Based SoC Design,Nov. 2003:230-235
    95. M. Millberg, E. Nilsson, R. Thid, S. Kumar,and A. Jantsch, The Nostrum Backbone– A Communication Protocol Stack for Networks on Chip, Proc. Intl. Conf. VLSI design, 2004
    96. S. Lee, S. Song, K. Lee, J. Woo, S. Kim, B.Nam, and H. Yoo, An 800MHz Star-connected on-chip Network for Application to Systems on a Chip, Proc. Intl Solid-State Circuits Conf., Feb. 2003:98-104
    97. S. Hong, T. Kim. Bus Optimization for Low Power Data Path Synthesis Based on Network Flow Method. Proc. of ICCAD. 2001:89-94
    98. S. Kirkpatrick, C. D. Gelatt, Jr., M. P. Vecchi. Optimization by Simulated Annealing, 13 May 1983, 220( 4598)
    99. Chen xin,Ye Yi-zheng, Overview of Technology Mapping , Journal of Harbin Institute of Technology, 1999,6(3)
    100. F. G.Moraes, N.Calazans, A.Mello, L.M?ller and L.Ost, HERMES: an Infrastructure for Low Area Overhead Packet-switching Networks on Chip, Integration VLSI Journal, 2003: 78-83
    101. Daniel Wiklund, Dake Liu, Switched Interconnect for System-on-a-chip Designs, Proc. IP2000 Europe conference, 2000.120-125
    102. WilliamJ. Dally , Brian Towles, Route Packets, Not Wires: On-chip Interconnection Networks, in Proceedings of the Design Automation Conference(DAC), Las Vegas, NV, June 2001: 684-689
    103. Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen,Martti Forsell, Mikael Millberg Johny Oberg, KariTiensyrja and Ahmed Himani, A Network on Chip Architecture and Design Methodology, Procesidngsof the IEEE computer society annual symposium on VLSI 2002:79-83
    104. P. Guerrier and A. Greiner, A Generic Architecture for on Chip Packet-switched Interconnections, Proc. Design, Automation and test in Europe, 2000:103-107
    105. F. Karim et al., An Interconnect Architecture forNetworking Systems on Chips, IEEE Micro, Oct.2002,22(5):36-45
    106. Marcello Coppola, Riccardo Locatelli, Giuseppe Maruccia,Lorem Pieralisi and Albert0 Scanurra , Spidergon: a Novelon-chip Communication Network, System-on-Chip, 2004 International Symposium on, Nov. 2004:15-18
    107.朱晓静,胡伟武. Xmesh:一个mesh-like片上网络拓扑结构, Journal of Software, Vol.18, No.9, September 2007:2194?2204
    108. Jinhwan Jeon ,Yongjin Ahn ,Kiyoung Choi. CDFG Toolkit User’s Guide, August 2002, School of Electronic Engineering ,Seoul National University.
    109. H-S. Wang, X. Zhu, L-S. Peh, S. Malik, Orion: A Power-Performance Simulator forInterconnection Networks, in Proc. of MICRO 35, November, 2002:23-27
    110. M. Mirza-Aghatabar,S.Koohi, S. Hessabi, An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models,Digital System Design Architectures, Methods and Tools, DSD 2007.10th Euromicro Conference on 29-31 Pages , Aug. 2007:19– 26.
    111. VasilisF.Pavlidis, EbyG.Friedman. 3-D Topologies for Networks-on-Chip. IEEE Transaction on Very Large Scale Integration (VLSI) Systems. 2007, 15(10).
    112. Xie, Y., Loh, G.H., Black, B., Bernstein, K. , Design Space Exploration for 3D Architectures, ACM Journal on Emerging Technologies in Computing Systems 2, 2006: 65–103
    113.王艳波,吴金,常昌远等.VLSI低功耗设计分析.电子器件.2002年6月,25(2):152-156
    114.朱樟明,钱利波,杨银堂.一种65nm CMOS互连线串扰分布式RLC解析模型.半导体学报. 2008,29(3):423-427
    115.张立鹏,顾华玺.片上网络的低功耗研究.中国集成电路.2007年报2期
    116. Vamsi Krishna, N.Raanganathan, N. Vijaykrishnan. A Methodology for High Level Power Estimation and Exploration, Proc. of Great Lake symposium on VLSI, 1998:420-425

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700