纳米工艺集成电路可寻址测试芯片设计方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
测试芯片作为集成电路制造工艺提取工艺器件参数,评估工艺设备性能,制定版图设计规则,检测工艺缺陷以及评估产品可靠性的重要手段,对缩短工艺开发周期、提升成品率起着重要的作用。随着集成电路进入纳米工艺时代,复杂的制造工艺对测试芯片的测试需求不断增加。测试芯片可寻址的设计方法由于能在有限的晶圆面积上对大量的测试结构进行测量,而成为当前制造工艺领域的一大研究热点。本文围绕高测量精度、更高面积利用率的可寻址测试芯片的设计方法展开了以下方面的研究:
     1)针对工艺开发初始阶段工艺缺陷检测的需要,提出了一种大型可寻址测试芯片的电路设计方法。每个测试结构采用四端法连接以及使用单一的IO NMOS晶体管作为开关电路的做法,既提高了测试结构的阻值/漏电测量精度,又使得测试结构阵列规模可以很大,提高了面积利用率。该方法通用性强、工艺可移植性好,已在65nmCMOS制造工艺得到验证。
     2)针对缺陷失效分析对缺陷精细定位的需求,对1)进行扩展。物理定位设备上能够提供的探针数量很少(<10),不足以让大型寻址电路正常工作以维持测试结构到PAD的通路。对此,利用原有电路仅增加少量探针引脚让所有的测试结构共用,并将维持测试结构到探针引脚的通路需要的探针数量减少到3个。该方法在110nmCMOS工艺的应用实例中得到证明,并成功定位到对该工艺的金属断路缺陷,并得到有效的失效分析。
     3)将1)的设计方法应用到工艺量产阶段工艺缺陷检测,并针对划片槽狭长的特点,提出了适合的版图设计方法。将整个版图分成多个独立的模块,每个模块单独设计,而且模块的设计被定制为几种固定的类型。该方法简化了版图设计工作,而且使得设计的版图自动化程度高、扩展性强、工艺可移植性好。该方法在45nm CMOS制造工艺得到验证。
     4)针对工艺波动引起的MOS器件性能变异检测、诊断、建模的需要,提出一种划片槽MOS器件可寻址的设计方法。该方法可以同时摆放240个MOS器件,并能准确测量每个MOS管的饱和电流、亚阈值漏电、栅极漏电以及阈值电压VT。而且芯片生产至金属层2时即可展开测试,缩短了测试周期。该方法在28nm CMOS制造工艺得到验证。
As the main approach to extract parameters, assess processing tool performance, formulating layout design rules, detect or quantify random and systematic defects, establish product reliability, test chips play an important role in reducing process development cycle and improving manufacturing yield. However, the increasingly complicated processes of nano-era require a lot more test structures than the amount that traditional test chips can accommodate. Under such a circumstance, addressable test chips emerge as the solution and become a hot research area. We have focused on this area and the main content of the thesis is the design methodology of high-density and high-accuracy addressable test chips. Next is a summary of our research contents and innovations:
     Proposed an large-scale addressable test chip design scheme for process defects detection during the development of a new technology node. In our design, we make all the test structures four-terminal connected and implement the switch by thick-oxide NMOS transistor, thus improve the design of addressable test chip on measurement accuracy, array size as well as area efficiency. This design scheme has been verified by a64X64large-scale test chip in a65nm CMOS technology node. And also it has strong universality and can be well transferred to another technology node.
     Expanded the application of the above design scheme to the fault location of failure analysis. Manual probing system fails to provide enough probes to perform fault location techniques. Another group of PADs are added, and all the test structures can share these PADs. Only three PADs are needed for each fault location, which make the fault location techniques feasible by using manual probing system. This specialized design scheme has been verified in a110nm CMOS technology node.
     Applied the first mentioned design scheme for process defects detection during the process stage of mass production. A suitable layout design method is proposed to implement the design scheme in the limited space of scribe line. The whole layout is divided into several modules with separate design, and the design of the module is customized to some types. Such layout design method simplifies the work of the design, and makes it possible that the layout is highly automation, well extensibility and also easy to be transferred to another technology node. This layout design method has been verified in a45nm CMOS technology node.
     Proposed a MOS transistor array design scheme for characterization and modeling of statistical variations in MOSFET characteristics. The proposed array achieves both compact layout area with240devices placed in scribe line and accurate measurement of saturation region current, sub-threshold current, sub-threshold voltage and gate leakage. Also to reduce the testing cycle, the array has been implemented with two levels of metal. This design scheme has been verified in a28nm CMOS technology node.
引文
[1]王琪.半导体集成电路标准概述.信息技术与标准化,2006,(003):25-28
    [2]International Technology Roadmap for Semiconductors 2009 Edition Executive Summary.2009
    [3]B. El-Kareh, A. Ghatalia, AVS Satya. Yield management in microelectronic manufacturing. IEEE,1995. pp.58-63
    [4]Peter Van Zant. Microchip Fabrication:A Practical Guide to Semiconductor Processing (Fourth Edition).
    [5]Stephen A. Campbell. The science and Engineering of Microelectronic Fabrication (Second Edition).
    [6]李季.基于可制造性设计的物理设计规则的研究[D].2006
    [7]H. Mahmoodi, S. Mukhopadhyay, K. Roy. Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. Solid-State Circuits, IEEE Journal of,2005,40 (9):1787-1796
    [8]T. Winter. Electrical defect density modeling for different technology nodes, process complexity, and critical areas.1997. pp.61
    [9]B. Kruseman, A. Majhi, C. Hora, et al. Systematic defects in deep sub-micron technologies. IEEE,2004. pp.290-299
    [10]P. Nigh, A. Gattiker. Random and systematic defect analysis using IDDQ signature analysis for understanding fails and guiding test decisions. IEEE,2004. pp.309-318
    [11]R. Madge, B. Benware, R. Turakhia, et al. In search of the optimum test set-adaptive test methods for maximum defect coverage and lowest test cost. IEEE, 2004.pp.203-212
    [12]L.M. Huisman, M. Kassab, L. Pastel. Data mining integrated circuit fails with fail commonalities. IEEE,2004. pp.661-668
    [13]N. Doe, R. Eandi. Optical proximity effects in submicron photomask CD metrology. 2000. pp.139-154
    [14]B.J. Lin. Methods to print optical images at low-k factors.1990. pp.2
    [15]K. Tounai, H. Tanabe, H. Nozue, et al. Resolution improvement with annular illumination.1992. pp.753-764
    [16]H.M. Yao, X. Luo, X. Chen, et al. OAI and PSM used in 193-nm microlithography. 1999. pp.253
    [17]P.D. Buck, M.L. Rieger. Phase-shift mask applications.1991. pp.218
    [18]Z.M. Ma, S. McDonald, C. Progler. Revisiting adoption of High Transmission PSM: pros, cons and path forward.2009. pp.752017
    [19]O.W. Otto, J.G. Garofalo, KK Low, et al. Automated optical proximity correction:a rules-based approach.1994. pp.278
    [20]J. Li, D.A. Bernard, J.C. Rey, et al. Model-based optical proximity correction including effects of photoresist processes.1997. pp.643
    [21]N.B. Cobb, A. Zakhor. Fast sparse aerial-image calculation for OPC.1995. pp.534
    [22]F.M. Schellenberg, H. Zhang, J. Morrow. Evaluation of OPC efficacy.1996. pp.680
    [23]冯伯儒,张锦.相移掩模和光学邻近效应校正光刻技术.光电工程,2001,28(001):1-5
    [24]S.K. Kim, C.N. Ahn, S.M. Kim, et al. Reduction of isolated-dense bias by optimization off-axis illumination for 150-nm lithography using KrF.1999. pp.691
    [25]付本涛,郑学仁.纳米时代的可制造性设计.微电子学,2007.37(4):532-537
    [26]H. Fukutome, Y. Momiyama, T. Kubo, et al. Direct evaluation of gate line edge roughness impact on extension profiles in sub-50-nm n-MOSFETs. Electron Devices, IEEE Transactions on,2006,53 (11):2755-2763
    [27]M. Miyamura, T. Fukai, T. Ikezawa, et al. SRAM critical yield evaluation based on comprehensive physical/statistical modeling, considering anomalous non-Gaussian intrinsic transistor fluctuations. IEEE,2007. pp.22-23
    [28]A. Asenov, S. Kaya, J.H. Davies. Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations. Electron Devices, IEEE Transactions on,2002,49(1):112-119
    [29]JR Brews. Surface potential fluctuations generated by interface charge inhomogeneities in MOS devices. Journal of Applied Physics,1972,43 (5): 2306-2313
    [30]L. Capodieci. From optical proximity correction to lithography-driven physical design (1996-2006):10 years of resolution enhancement technology and the roadmap enablers for the next decade.2006. pp.615401
    [31]CT Liu, FH Baumann, A. Ghetti. et al. Severe thickness variation of sub-3 nm gate oxide due to Si surface faceting, poly-Si intrusion, and corner stress. IEEE.1999. pp.75-76
    [32]T.K. Yu, S. Chheda. J. Ko, et al. A two-dimensional low pass filter model for die-level topography variation resulting from chemical mechanical polishing of ILD films. IEEE.1999. pp.909-912
    [33]I. Ahsan. N. Zamdmer, O. Glushchenkov, et al. RTA-driven intra-die variations in stage delay, and parametric sensitivities for 65nm technology. IEEE,2006. pp.170-171
    [34]T. Tanaka, T. Usuki, T. Futatsugi, et al. Vth fluctuation induced by statistical variation of pocket dopant profile. IEEE,2000. pp.271-274
    [35]A. Asenov. Simulation of statistical variability in nano MOSFETs. IEEE,2007. pp.86-87
    [36]K.J. Kuhn. Reducing variation in advanced logic technologies:Approaches to process and design for manufacturability of nanoscale CMOS. IEEE.2007. pp.471-474
    [37]S. Majoni, I. Englard. Enhancing yield and productivity with process control applications for contact and via module.2003. pp.255
    [38]J. Herry, R. Marz, H. Melzner, et al. A routing clean-up methodology for improvement of defect and lithography related yield. Society of Photo-Optical Instrumentation Engineers.2008. pp.69250J.69251-69250J.69211
    [39]A.J. Strojwas. Design for manufacturability and yield. Microelectronics Journal. 1990,21 (2):53-66
    [40]C. Chiang, J. Kawa. Design for manufacturability and yield for nano-scale CMOS. Recherche.2007,67:02
    [41]M. Orshansky, S. Nassif, D. Boning. Design for manufacturability and statistical design:a constructive approach. Springer Publishing Company, Incorporated.2010.
    [42]HA. Schafft. SEMICONDUCTOR MEASUREMENT TECHNOLOGY: ARPA/NBS WORKSHOP II-HERMETICITY TESTING FOR INTEGRATED CIRCUITS.1974
    [43]M.G. Buehler. Microelectronic Test Chips for VLSI Electronics VLSI Electronics Microstructure Science, Vol 6. Academic Press.1983.
    [44]International Conference on Microelectronic Test Structure Tutorial Short Course. 2007
    [45]JJ Kopanski, CE Schuster. Review of semiconductor microelectronic test structures with applications to infrared detector materials and processes. Semiconductor Science and Technology,1993,8:888
    [46]M.G. Buehler, L.W. Linholm. Role of Test Chips in Coordinating Logic and Circuit Design and Layout Aids for VLSI. Solid State Technology,1981,:68-74
    [47]W. Fichtner, SM Sze. Process simulation. VLSI Technology,1988,:422-465
    [48]S.P. Murarka, M.C. Peckerar, SP Murarka. Electronic materials:science and technology. Academic Press.1989.
    [49]WM DUNCAN, GH WESTPHAL. VLSI ELECTRONICS:MICROSTRUCTURE SCIENCE, VOL.11. GaAs microelectronics,1985,11:41
    [50]GP Carver, MG Buehler. An analytical expression for the evaluation of leakage current in the integrated gated-diode electrometer. Electron Devices, IEEE Transactions on,1980,27(12):2245-2252
    [51]R. Williams. Modern GaAs processing methods. Artech house Dedham, MA.1990.
    [52]MG Buehler. Effect of the drain—Source voltage on dopant profiles obtained from the DC MOSFET profile method. Electron Devices, IEEE Transactions on,1980, 27(12):2273-2277
    [53]O. Paul, P. Ruther, L. Plattner, et al. A thermal van der Pauw test structure. Semiconductor Manufacturing, IEEE Transactions on,2000,13 (2):159-166
    [54]S.J. Proctor, L.W. Linholm, J.A. Mazer. Direct measurements of interfacial contact resistance, end contact resistance, and interfacial contact layer uniformity. Electron Devices, IEEE Transactions on,1983,30 (11):1535-1542
    [55]M.G. Buehler, SD Grant, WR Thurber. Bridge and van der Pauw sheet resistors for characterizing the line width of conducting layers. Journal of the Electrochemical Society,1978,125:650
    [56]RA Allen, MW Cresswell, LM Buck. A new test structure for the electrical measurement of the width of short features with arbitrarily wide voltage taps. Electron Device Letters, IEEE,1992,13 (6):322-324
    [57]TJ Russell, DA Maxwell, CT Reimann, et al. A microelectronic test pattern for measuring uniformity of an integrated circuit fabrication technology. Solid State Technology,1979,22:71-74
    [58]H.A. Schafft, C.D. Younkins, T.C. Grant, et al. Effect of passivation and passivation defects on electromigration failure in aluminum metallization. IEEE.1984. pp.250-255
    [59]TF Hasan, DS Perloff, CL Mallory. Test Vehicles for the Measurement and Analysis of VLSI Lithographic and Etching Parameters. Semiconductor Silicon/1981 (HR Huff. RJ Kriegler, and Y. Takeishi, eds.),1981,:868-881
    [60]HA Schafft. Semiconductor Measurement Technology:ARPA/NBS Workshop III. Test Patterns for Integrated Circuits.1976
    [61]D.P. Vallett. IC failure analysis:The importance of test and diagnostics. Design & Test of Computers, IEEE,1997,14 (3):76-82
    [62]C. Boit. Can failure analysis keep pace with IC technology development? IEEE, 1999. pp.9-14
    [63]D.P. Vallett. Failure analysis requirements for nanoelectronics. Nanotechnology. IEEE Transactions on.2002,1 (3):117-121
    [64]R. Madge. New test paradigms for yield and manufacturability. Design & Test of Computers, IEEE.2005,22 (3):240-246
    [65]M.B. Ketchen, M. Bhushan. Microelectronic Test Structures for CMOS Technology. Springer Verlag.2011.
    [66]T. Ternisien d'Ouville, JP Jeanne, JL Leclercq, et al. Automatic test chip and test program generation:An approach to parametric test computer-aided design. IEEE, 1992. pp.145-149
    [67]A. Gabrys. W. Greig, A.J. West, et al. Highly automated test chip layout and test plan development for parametric electrical test. IEEE,2008. pp.96-100
    [68]G. Leonardelli, G. Rohrer, R. Minixhofer, et al. A novel system for fully automated creation of layout, documentation and test programs for electrical test structures. IEEE,2004. pp.205-207
    [69]A. Gabrys, W. Greig, A.J. West, et al. Integrated Procedure Automating Test Chip Layout, Place and Route, and Test Plan Development for Efficient Parametric Device and Process Design. Semiconductor Manufacturing, IEEE Transactions on, 2009,22(1):110-118
    [70]B. Zhang, W. Pan, Y. Zheng, et al. A fully automated large-scale addressable test chip design with high reliability. IEEE.2011. pp.61-64
    [71]C. Hess, A. Inani, Y. Lin. et al. Scribe characterization vehicle test chip for ultra fast product wafer yield monitoring. IEEE.2006. pp.110-115
    [72]J. Chappell. PDF SOLUTIONS LAUNCHES PDFASTEST FOR CV TEST CHIPS. Electronic News,2002,48 (45):16
    [73]C. Hess, M. Saadat, A. Inani, et al. Yield Improvement Using a Fast Product Wafer Level Monitoring System. IEEE,2006. pp.417-422
    [74]M.B. Schmidt, H.H. Kang, L. Dworkin, et al. New methodology for ultra-fast detection and reduction of non-visual defects at the 90nm node and below using comprehensive e-test structure infrastructure and in-line DualBeamTM FIB. IEEE, 2006. pp.12-16
    [75]R.E. Newhart, E.J. Sprogis. Defect Diagnostic Matrix-a Defect Learning Vehicle for Submicron Technologies. IEEE,1988. pp.103-106
    [76]AJ Walton, W. Gammie. D. Morrow, et al. A novel approach for reducing the area occupied by contact pads on process control chips. IEEE,1990. pp.75-80
    [77]AJ Walton, D. Ward, JM Robertson, et al. A novel approach for an electrical vernier to measure mask misalignment. IEEE,1989. pp.950-953
    [78]D. Ward, AJ Walton, WG Gammie. et al. The use of a digital multiplexer to reduce process control chip pad count. IEEE,1992. pp.129-133
    [79]C. Hess, L.H. Weiland. Drop in process control checkerboard test structure for efficient online process characterization and defect problem debugging. IEEE,1994. pp.152-159
    [80]C. Hess, L.H. Weiland. Defect parameter extraction in backend process steps using a multilayer checkerboard test structure. IEEE,1995. pp.51-56
    [81]C. Hess, L.H. Weiland, G. Lau. et al. Control of application specific interconnection on gate arrays using an active checkerboard test structure. Citeseer,1996. pp.55-60
    [82]T. Hamamoto, T. Ozaki, M. Aoki, et al. Measurement of contact resistance distribution using a 4K-contacts array. Semiconductor Manufacturing, IEEE Transactions on,1996,9 (1):9-14
    [83]C. Hess, B.E. Stine, L.H. Weiland, et al. Passive multiplexer test structure for fast and accurate contact and via fail-rate evaluation. Semiconductor Manufacturing, IEEE Transactions on,2003,16(2):259-265
    [84]M. Yamamoto, H. Endo, H. Masuda. Development of a large-scale TEG for evaluation and analysis of yield and variation. Semiconductor Manufacturing, IEEE Transactions on,2004,17 (2):111-122
    [85]M. Karthikeyan, S. Fox, W. Cote, et al. A 65nm random and systematic yield ramp infrastructure utilizing a specialized addressable array with integrated analysis software. IEEE,2006. pp.104-109
    [86]M. Karthikeyan, A. Gasasira, S. Fox, et al. Development and Use of Small Addressable Arrays for Process Window Monitoring in 65nm Manufacturing. IEEE, 2007.pp.135-139
    [87]K.Y.Y. Doong, T.J. Bordelon, L.J. Hung, et al. Field-configurable test structure array (FC-TSA):Enabling design for monitor, model, and manufacturability. Semiconductor Manufacturing, IEEE Transactions on,2008,21 (2):169-179
    [88]C. Hess, M. Squcciarini, S. Yu, et al. High density test structure array for accurate detection and localization of soft fails. IEEE,2008. pp.131-136
    [89]G. Yeric, E. Cohen, J. Garcia, et al. Infrastructure for successful BEOL yield ramp. transfer to manufacturing, and DFM characterization at 65 nm and below. Design & Test of Computers, IEEE,2005,22 (3):232-239
    [90]J. Bastos, M. Steyaert, R. Roovers, et al. Mismatch characterization of small size MOS transistors. IEEE,1995. pp.271-276
    [91]MG Buehler, BT Moore, RH Nixon. Parameter extraction from spaceborne MOSFETs. Nuclear Science, IEEE Transactions on,1985,32 (6):4237-4243
    [92]M. Eisele, J. Berthold, R. Thewes, et al. Intra-die device parameter variations and their impact on digital CMOS gates at low supply voltages. IEEE,1995. pp.67-70
    [93]A. Pavasovic, A.G. Andreou, C.R. Westgate. Characterization of subthreshold MOS mismatch in transistors for VLSI systems. Analog Integrated Circuits and Signal Processing,1994,6(1):75-85
    [94]L. Portmann, C. Lallement, F. Krummenacher. A high density integrated test matrix of MOS transistors for matching study. IEEE,1998. pp.19-24
    [95]Y. Shimizu, M. Nakamura, T. Matsuoka, et al. Test structure for precise statistical characteristics measurement of MOSFETs. IEEE,2002. pp.49-54
    [96]CLM van der Klauw, JJM Joosten. Self-multiplexing force-sense test structures for (MOS) IC applications. Semiconductor Manufacturing, IEEE Transactions on, 1991,4(3):206-212
    [97]N. Drego, A. Chandrakasan, D. Boning. A test-structure to efficiently study threshold-voltage variation in large MOSFET arrays. IEEE,2007. pp.281-286
    [98]A. Mizumura, T. Oishi, N. Yokoyama, et al. Study of 90-nm MOSFET subthreshold hump characteristics using newly developed MOSFET array test structure. Semiconductor Manufacturing, IEEE Transactions on,2006,19 (1):19-26
    [99]SB Yeo, J. Bordelon, S. Chu, et al. A robust and production worthy addressable array architecture for deep sub-micron MOSFET's matching characterization. IEEE. 2002. pp.229-234
    [100]N. Izumi, H. Ozaki, Y. Nakagawa, et al. Evaluation of transistor property variations within chips on 300-mm wafers using a new MOSFET array test structure. Semiconductor Manufacturing, IEEE Transactions on,2004,17 (3):248-254
    [101]U. Schaper, J. Einfeld, A. Sauerbrey. Parameter variation on chip-level. IEEE,2005. pp.155-158
    [102]C. Hess. S. Saxena, H. Karbasi. et al. Device array scribe characterization vehicle test chip for ultra fast product wafer variability monitoring. IEEE,2007. pp.145-149
    [103]U. Schaper, C. Linnenbank, R. Thewes. A novel approach for precise characterization of long distance mismatch of CMOS-devices. IEEE,2000. pp.148-152
    [104]S. Saxena, S. Minehane, J. Cheng, et al. Test structures and analysis techniques for estimation of the impact of layout on MOSFET performance and variability. IEEE, 2004. pp.263-266
    [105]S. Saxena, T. Uezono, R. Vallishayee, et al. Estimating MOSFET Leakage from Low-cost, Low-resolution Fast Parametric Test. IEEE,2009. pp.119-123
    [106]S. Ohkawa, M. Aoki, H. Masuda. Analysis and characterization of device variations in an LSI chip using an integrated device matrix array. Semiconductor Manufacturing, IEEE Transactions on,2004,17 (2):155-165
    [107]R. Lefferts, C. Jakubiec. An integrated test chip for the complete characterization and monitoring of a 0.25μm CMOS technology that fits into five scribe line structures 150μm by 5000μm. IEEE,2003. pp.3-63
    [108]K. Agarwal, F. Liu, C. McDowell, et al. A test structure for characterizing local device mismatches. IEEE.2006. pp.67-68
    [109]T. Sato, T. Uezono, S. Hagiwara, et al. A MOS transistor-array for accurate measurement of subthreshold leakage variation. IEEE,2007. pp.21-26
    [110]T. Sato, H. Ueyama, N. Nakayama, et al. A MOS transistor array with pico-ampere order precision for accurate characterization of leakage current variation. IEEE, 2008.pp.389-392
    [111]T. Sato, H. Ueyama, N. Nakayama, et al. Accurate array-based measurement for subthreshold-current of MOS transistors. Solid-State Circuits, IEEE Journal of. 2009,44(11):2977-2986
    [112]B. Smith, A. Arriordaz, V. Kolagunta, et al. A novel biasing technique for addressable parametric arrays. Semiconductor Manufacturing, IEEE Transactions on,2009,22(1):134-145
    [113]M.B. Ketchen, M. Bhushan, G. Costrini. Addressable arrays implemented with one metal level for MOSFET and resistor variability characterization. IEEE.2009. pp.13-18
    [114]S. Mukhopadhyay, H. Mahmoodi-Meimand, C. Neau, et al. Leakage in nanometer scale CMOS circuits. IEEE,2003. pp.307-312
    [115]K. Roy. S. Mukhopadhyay. H. Mahmoodi-Meimand. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proceedings of the IEEE.2003,91 (2):305-327
    [116]朱华平,戴庆元.徐健.纳米级CMOS电路的漏电流及其降低技术.微处理机,2005,26(006):1-4
    [117]M. Lamy, F. Lorut, M. de la Bardonnie, et al. How effective are failure analysis methods for the 65nm CMOS technology node? IEEE,2005. pp.32-37
    [118]R. Rosenkranz, S. Doring, W. Werner, et al. Active Voltage Contrast for Failure Localization in Test Structures. ASM International; 1998,2006. pp.316
    [119]K. Nikawa. Optical beam induced resistance change (OBIRCH):overview and recent results. IEEE,2003. pp.742-743 vol.742
    [120]K. Nikawa, S. Inoue, K. Morimoto, et al. Failure analysis case studies using the IR-OBIRCH (infrared optical beam induced resistance change) method. IEEE, 1999.pp.394-399
    [121]K. Nikawa, S. Inoue. New capabilities of OBIRCH method for fault localization and defect detection. IEEE,1997. pp.214-219
    [122]F. Beaudoin, P. Perdu, R. Desplats, et al. Laser beam based ESD defect localization in ICs.2002.pp.543-551
    [123]E.I. Cole Jr. Beam-Based Defect Localization Techniques.2004,
    [124]HS Wang, HC Hung, JH Chou, et al. Novel technique for detecting the open fault using electroplating. IEEE,2004. pp.291-293

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700