用户名: 密码: 验证码:
网格NoC平台中的若干关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
片上网络(NoC:Network-on-Chip)是一种针对多核SoC设计的新型片上通信架构。对于传统共享总线通信结构中存在的延迟、通信性能瓶颈以及设计效率问题,NoC提供了一种新的片上通信结构解决方案。本文首先论述了NoC的国内外研究现状,然后论述了以NoC为通信架构的系统芯片设计流程。在此基础上,我们针对二维网NoC拓扑结构,分析了其性能特点、路由算法、以及NoC中单个路由节点功耗和性能评估模型,并同时与通用的片上共享总线的性能与硬件开销进行了比较。
     同时,低功耗是超大规模集成电路设计的一个趋势,特别是对一些嵌入式系统、移动终端以及手持设备。对于NoC设计,可以分别在各个层次,如物理层、链路层、网络层、应用层等降低NoC的能量消耗。本文针对NoC这种片上通信架构,主要研究在网络层和应用层进行低功耗设计的技术以及性能分析方法,其主要工作如下:
     首先,针对超深亚微米半导体工艺下,片上通信的数据完整性日益严重的问题,提出了一种自适应的NoC链路数据保护方法。该方法根据通信链路的错误概率以及系统的可靠性约束要求,自适应的在片上通信链路的可靠等级与功耗之间进行折衷,使得满足片上通信链路数据完整性要求的同时,NoC的通信能量消耗最小。
     其次,针对NoC采用网络通信的特点,提出了一种基于网络积分的NoC报文延迟计算方法。该方法通过对输入报文的到达曲线以及路由节点的服务曲线分析,采用网络积分理论,计算出报文在NoC中的传输延迟;同时对NoC中路由节点采用不同仲裁策略的报文延迟计算方法进行了研究,并在准确度上与周期准确级仿真进行了比较。
     再次,针对NoC设计过程中IP核映射和路由分配两个关键步骤,提出了一种基于PSO的低功耗IP核映射和链路平衡的路由定制算法PLBMR。针对二维网格NoC,在提出了NoC能量消耗和通信链路负载平衡的数学计算方法的基础上,解决了IP核映射和路由分配的PSO粒子表示问题。但是对于不同的应用,各个IP之间的通信数据流类型会有很大差别,为了得到优化的性能,需要根据具体的应用设置合适的算法参数。
     最后,针对不规则的2维NoC拓扑结构,提出一种避免死锁的低功耗全定制路由算法EA_TP。该路由算法以转弯禁止的死锁避免路由算法为基础,考虑链路长度以及链路上通信容量两个因数,选择合适的转弯被禁止,同时保证NoC的全连接,然后采用Dijkstra最短路由路径算法,构造所有通信流的路由路径。EA_TP算法采用路由表的方法构造定制路由,保证了在合理的面积开销范围内,解决了不规则NoC的死锁问题,同时使得NoC通信能量消耗最小。
Network-on-Chip is a new on-chip communication architecture for the multi-core SoC,it brings a new solution to the problem which the traditional shared bus has in delay,communicaiton performance bottleneck and design efficiency for the ultra-large scale circuit design. This thesis firstly depicts the research art of NoC, the shift of SoC design methodology and the design flow of NoC centric system-on-chip. Aiming to the 2D mesh NoC topology, we analysis it's performance character, routing algorithm, and the router's power and NoC's performance model, also we compare them with the shared bus architecture for the performance and cost.
     Low power design is a trend for the ultra-large scale integrated circuit design, specially for the embedded system, mobile and hand device. For the NoC based system design, we can reduce the NoC energy consumption at the different design layer, which includes physical layer, link layer, network layer and application layer. In this thesis, we exploit the low power design technology in the NoC's application layer and network layer. The main works of this thesis are follows:
     First of all, data integrality become a serious problem under the ultra-deep micro semiconductor technology. For the new NoC communication architecture, we propose an adaptive link data protection method. It can be adaptively trade-off between the energy consumption and reliability according with the communication condition of data links, which make NoC satisfy the requirement of reliability and minimize the energy consumption. This also reduces the energy consumption of NoC based SoC.
     Secondly, we propose a delay computing method of NoC packet based on the characteristic of the NoC's network communication. Through analyzing the reach curve of input packet and the serve curve of NoC's router, the method computes the packet transporting delay through utilizing the network calculus theory. Furthermore, we exploit the delay computing method for various arbitration strategy in the NoC router, and compare the delays with the cycle accurate simulation results. It shows the accuracy of delay computing based on network calculus.
     Moreover, we propose a two step PSO based low power and link-load balance algorithm for IP core mapping and routing path allocation. IP core's mapping and routing path's allocation are the two key steps in the NoC based system design. For the 2D mesh NoC, we propose the mathematical description for the NoC's communication energy consumption and link-load balance. Meanwhile, we also solve the PSO particle denotation for the IP core's mapping and routing path allocation. But for the various application, the communication flows among all IP cores have the great difference, thus for the purpose of the most optimized performance, we must configure the algorithm parameter according with the specific application.
     Finally, for the irregular 2D mesh NoC, we propose a low power deadlock-free routing algorithm EA_TP. EA_TP is based on the turn-prohibited deadlock free routing . According with the link length and communication volume, and it prohibits the appropriate turn and guarantee the NoC's full connection. Then, EA_TP adopts the Dijkstra shortest path algorithm to customize all the flows routing path. EA_TP constructs the routing path with routing table, it prevents the irregular NoC deadlock and keep a low area cost while minimizing the communication link energy consumption.
引文
1 S.I.Association.International Technology Roadmap for Semiconductors.World Semiconductor Council.1999
    2 宋廷强,刘川来,李思昆,等.Soc设计中的IP核复用技术研究.青岛化工学院学报.2003,23(3):260-263
    3 葛晨阳,徐维朴,孙飞.IP复用技术的研究.微电子学.2002,32(4):257-260
    4 IBM Corporation.The Core-connect Bus Architecture.http://chips.ibm.com.2001
    5 ARM Corporation.The AMBA Specification.http://www.arm.com.1999
    6 Silicore Corporation.The Wishbone System Architecture Specification.http://www.silicore.com/wishbone.htm.2001
    7 季红彬,蒋斌,魏敬和.C~*Bus-一个通用的SoC总线结构.中国集成电路.2002:32-39
    8 R.Ho,K.Mai,M.Horowitz.The Future of Wires.Proceedings of the IEEE.2001,89(4):490-504
    9 U.Y.Ogras,R.Marculescu.It's a Small World after All:NoC Performance Optimization via Long Link Insertion.IEEE Trans.on Very Large Scale Integration Systems,Special Section on Hardware/Software Codesign and System Synthesis.2006,14(7):693-706
    10 S.Fairbanks,S.Moore.Self-timed Circuitry for Global Clocking.Proceedings of the 11th International Symposium on Asynchronous Circuits and Systems.New York City,USA,2005:86-96
    11 S.Heo,K.Asanovi(?).Replacing Global Wires with an On-chip Network:A Power Analysis.Proc.of ISLPED'05.San Diego,CA,USA,2005:369-374
    12 R.Ho,K.Mai,M.Horowitz.Efficient On-chip Global Interconnects.In the Symposium on VLSI circuits.San Diego,USA,2003:271-274
    13 L.P.Carloni,A.L.Sangiovanni-Vincentelli.Coping with Latency in SoC Design .IEEE Micro,Special Issue on Systems on Chip.2002,22(5):12
    14 G.Campobello,M.Castano,C.Ciofi,et al.GALS Networks on Chip:New Solutions for Asynchronous Delay-insensitive Links.Proc of DATE.Munich,Germany,2006:160-165
    15 S. Kumar, A. Jantsch, J.-P. Soininen, et al. A Network on Chip Architecture and Design Methodology. Proceedings of IEEE Computer Society Annual Symposium on VLSI. Pittsburgh, 2002:105-112
    
    16 INTEL Corporation. Intel Ixp2850 Network Processor. http://www.intel.com.2002
    
    17 S. Dutta, R. Jensen, A. Rieckmann. Viper: A Multiprocessor Soc for Advanced Set-top Box and Digital Tv Systems. IEEE Design & Test of Computers. 2002,18(5):21-37
    
    18 J. Helmig. Developing Core Software Technologies for Ti's OMAPTM Platform. http://www.ti.com. 2002
    
    19 T. Ye. On-chip Multiprocessor Communication Network Design and Analysis. Ph.D. thesis, Standfod University. 2005
    
    20 W. Wolf. The Future of Multiprocessor Systems-on-chips. Proc of DAC'04.San Diego, California, USA, 2004:681-686
    
    21 T. Ye, L. Benini, G. D. Micheli. Packetized On-chip Interconnect Communication Analysis for Mpsoc. Proc of DATE. Munich, Germany, 2003:344-349
    
    22 E. Bolotin, A. Morgenshtein, I. Cidon, et al. Automatic Hardware-efficient Soc Integration by Qos Network on Chip. Proc. of the 11th IEEE Intl. Conf. on Electronics, Circuits and Systems (ICECS). 2004:483-486
    
    23 E. Bolotin, I. Cidon, R. Ginosar, et al. QNoC: Qos Architecture and Design Process for Network on Chip. Journal of Systems Architecture, special issue on Network on Chip. 2004, 50:105-128
    
    24 T. Felicijan, S. B. Furber. An Asynchronous On-chip Network Router with Quality-of-service (QoS) Support. Proc of IEEE International SOC Conference.Santa, Clara, CA, 2004:274-277
    
    25 W. J. Dally, B. Towles. Route Packets, Not Wires: On-chip Interconnection Networks. Proc. of the 38th Design Automation Conference (DAC). New York,USA, 2001:684-689
    
    26 M. Sgroi, M. Sheets, A. Mihal, et al. Addressing The System-on-a-chip Interconnect Woes Through Communication-based Design. Proceedings of Design Automation Conference. Las Vegas, Nevada, 2001:667-672
    
    27 P. Wielage, K. Goossens. Networks on Silicon: Blessing Or Nightmare? Proc of DSD. Dortmund, Germany, 2002:196-200
    28 D. Wingard. Micronetwork-based Integration for SOCs. Proc of DAC. Las Vegas, USA, 2001:673-677
    
    29 T. Salminen, J.-P. Soininen. Evaluating Application Mapping Using Network Simulation. Proc of the Inter. Symp. on System-on-Chip. Tampere, Finland,2003:27-30
    
    30 C. Ykman-Couvreur, E. Brockmeyer, V. Nollet, et al. Design-time Application Exploration for MP-SoC Customized Run-time Management. Proceedings of the International Symposium on System-on-Chip. Tampere, Finland, 2005:66-73
    
    31 N. K. Kavaldjiev, G. J. M. Smit, P. G. Jansen. Throughput of Streaming Applications Running on a Multiprocessor Architecture. Proc of DSD. Porto,Portugal,2005:350-355
    
    32 T.Ye, L. Benini, G. D. Micheli. Analysis of Power Consumption on Switch Fabrics in Network Routers. Proc of 39th DAC. New Orleans, USA, 2002:524-529
    
    33 K. Goossens, J. van Meerbergen, A. Peeters, et al. Networks on Silicon: Combining Best-effort and Guaranteed Services. Proc of DATE. Munich, Germany,2002:423-425
    
    34 A. Brinkmann, J.-C. Niemann, I. Hehemann, et al. On-Chip Interconnects for Next Generation System-on-chips. Proc of the 15th Annual IEEE International ASIC/SOC Conference. 2002:211-215
    
    35 M. Forsell. A Scalable High-performance Computing Solution for Networks on Chips. IEEE Micro. 2002, 22(5):46-55
    
    36 G. de Micheli, L. Benini. Networks on Chip: A New Paradigm for Systems on Chip Design. Proc of DATE. Washington, DC, USA, 2002:418
    
    37 I. Saastamoinen, D. S. Tortosa, J. Nurmi. Interconnect IP Node for Future System-on-chip Designs. Proc of 1st International Workshop on Electronic Design, Test and Applications. 2002:116-120
    
    38 H. Zhang, V. Prabhu, Varghese, et al. A 1v Heterogeneous Reconfigurable Processor Ic for Baseband Wireless Applications. Proc of ISSCC. San Francis,CA,USA, 2000:1697-1704
    
    39 T. M.B, M. J, W. D, et al. A 16-issue Multiple-program-counter Microprocessor with Point-to-point Scalar Operand Network. Proc of ISSCC. San Francis,CA,USA, 2003:170-171
    40 P. Guerrier, A. Greiner. A Generic Architecture for On-chip Packet-switched Interconnections. Proc of DATE. Paris, France, 2000:250-256
    
    41 S. Murali, G. D. Micheli. Bandwidth-constrained Mapping of Cores Onto NoC Architectures. Proc of DATE. Paris, France, 2004:896-901
    
    42 S. Murali, M. Coenen, A. Radulescu, et al. Mapping and Configuration Methods for Multi-use-case Networks on Chips. Proc of ASP-DAC. Yokohama, Japan,2006:146-151
    
    43 R. Marculescu, J. Hu. Energy-aware Mapping for Tile-based NoC Architectures under Performance Constraints. Proc of ASP-DAC. Kitakyushu, Japan,2003:233-239
    
    44 J. Hu, R. Marculescu. Exploiting the Routing Flexibility for Energy/performance Aware Mapping of Regular NoC Architectures. Proc of DAT'03. Munich, Germany, 2003:1088-1093
    
    45 J. Hu, R. Marculescu. Application Specific Buffer Space Allocation for Networks-on-chip Router Design. Proc of ICCAD. San Jose, CA, 2004:354-361
    
    46 J. Dielissen, A. Radulescu, K. Goossens, et al. Concepts and Implementation of the Philips Network-on-chip. Proc of IP-Based SOC Design. Grenoble, France,2003:269-272
    
    47 B. Gebremichael, F. Vaandrager, M. Zhang, et al. Deadlock Prevention in the Ethereal Protocol. Proc of CHARME. Saarbrucken, Germany, 2005:345-348
    
    48 K. G. W. Goossens. A Protocol and Memory Manager for On-chip Communication. International Symposium on Circuits and Systems. 2001:225-228
    
    49 K. Goossens, J. Dielissen, O. P. Gangwal, et al. A Design Flow for Application-specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification. Proc of DATE. Munich, Germany, 2005:1182-1187
    
    50 K. Kim, S.-J. Lee, K. Lee, et al. An Arbitration Look-ahead Scheme for Reducing End-to-end Latency in Networks-on-chip. Proc of ISCAS. Kobe, Japan,2005:2357-2360
    
    51 D. Kim, K. Lee, S.-J. Lee, et al. A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on-chip. Proc of ISCAS. Kobe, Japan,2005:23-26
    52 J. Kim, D. Park, C. Nicopoulus, et al. Design and Analysis of an NoC Architecture from Performance, Reliability and Energy Perspective. First Symposium on Architectures for Networking and Communication Systems. Prince-ton,NJ,USA, 2005:173-182
    
    53 T. A. Bartic, D. Desmet, J.-Y. Mignolet, et al. Network-on-Chip for Reconfig-urable Systems: From High-Level Design Down to Implementation. Proc of Field Programmable Logic and Application. 2004:637-647
    
    54 I. A. Khatib, A. Jantsch, B. Kayal, et al. Wireless Network-on-chips as Autonomous Systems: A Novel Solution for Biomedical Healthcare and Space Exploration Sensor-networks. Proceedings of the Infocom 2005 Conference -Student Workshop. Miami, FL, 2005:83-84
    
    55 B. R. Quinton, M. R. Greenstreet, S. J. E. Wilton. Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow. Proc of ICCD. San Jose, CA, 2005:267-274
    
    56 D. Rostislav, V. Vishnyakov, E. Friedman, et al. An Asynchronous Router for Multiple Service Levels Network on Chip. Proceedings of ASYNC'05. New York, USA, 2005:44-53
    
    57 I. Saastamoinen, T. Suutari, J. Isoaho, et al. Interconnect IP for Gigascale System-on-chip. Proc of ECCTD'01. Finland, 2001:281-284
    
    58 I. Saastamoinen, M. Alho, J. Nunni. Buffer Implementation for Proteo Networks-on-chip. Proc of ISCAS '03. Bangkok, Thailand: 113-116
    
    59 T. Bjerregaard, S. Mahadevan. A Survey of Research and Practices of Network-on-chip. ACM Computing Surveys. 2006, 38(1): 1-54
    
    60 R. Thid. A Network on Chip Simulator. Master's thesis, Department of Microelectronics and Information Technology, Royal Institute of Technology. 2002
    
    61 H. Wang, X. Zhu, L.-S. Peh, et al. Orion: A Power-performance Simulator for Interconnection Networks. Proc of 35th MICRO. Instambul, Turkey, 2002:294-305
    
    62 J. Chan, S. Parameswaran. NoCEE: Energy Macro-model Extraction Methodology for Network on Chip Routers. Proc of ICCAD. San Jose,CA,USA,2005:254-259
    
    63 A. Jalabert, S. Murali, L. Benini, et al. xpipesCompiler: A Tool for Instantiating Application Specific Networks on Chip. Proc of DATE. Paris, France, 2004:18-31
    64 J.HU.Design Methodologies for Application Specific Networks-on-chip.Ph.D.thesis,Carnegie Mellon University.2005
    65 K.Lee,S.-J.Lee,H.-J.Yoo.Low-power Network-on-chip for Highperformance Soc Design.IEEE Transactions on Very Large Scale Integration (VLSI)Systems.2006,14(2):148-160
    66 D.Lattard,E.Beigne,C.Bernard.A Telecom Baseband Circuit Based on an Asynchronous Network-on-chip.Proc of ISSCC.San Francisco,USA,2007:599-601
    67 G.R.Sriram Vangal,Jason Howard.An 80-tile 1.28tflops Network-on-chip in 65nm Cmos.Proc of ISSCC.San Francisco,USA,2007:98-100
    68 F.Steenhof,H.Duque,B.Nilsson,et al.Networks on Chips for High-end Consumer-electronics TV System Architectures.Proc of DATE.Munich,Germany ,2006:148-153
    69 J.Liang,S.Swaminathan,R.Tessier.aSOC:A Scalable,Single-chip Communications Architecture.the IEEE International Conference on Parallel Architectures and Compilation Techniques.Philadephia,PA,USA,2000:37-46
    70 A.Jantsch,H.Tenhunen,(Editors)Networks on Chip.Kluwer Academic Publishers ,2003
    71 J.Nurmi,H.Tenhunen,J.Isoaho,et al.,(Editors)Interconnect-Centric Design for Advanced Soc and NoC.Kluwer Academic Publishers,2004
    72 A.Jerraya,W.Wolf,(Editors)Multiprocessor Systems-on-chips.MORGAN KAUFFMAN,2004
    73 IBM Corporation.The Cell Project.http://www.research.ibm.com.2004
    74 K.Goossens.Networks on Chip for Consumer Electronics.Proc of ACACES.2005:227-230
    75 Sonics Corporation.SMART Interconnect IP.http://www.sonicsinc.com.2002
    76 STMicroelectronics.STMicroelectronics Unveils Innovative Networkon -chip Technology for New System-on-chip Interconnect Paradigm.http://www.st.com.2005.12
    77 高明伦,杜高明.NoC:下一代集成电路主流设计技术.微电子学.2006,36(4):461-466
    78 徐宁仪,冷祥纶.基于systemc的支持异源通信实体的noc仿真架构.半导体技术.2006,31(3):305-309
    79 周干民.NoC基础研究.合肥工业大学Ph.D.thesis.2005
    80 荆元利,樊晓桠.网络互连多线程处理器.计算机工程与应用.2005,33(33):51-54
    81 K.Lee,S.-J.Lee,H.-J.Yoo.Low-power Network-on-chip for Highperformance SoC Design.IEEE Transactions on VLSI Systems.2006,14(2):148-160
    82 T.Ahonen,D.S.Tortosa,J.Nurmi.Topology Optimization for Applicationspecific Networks-on-chip.Proc of 6th International Workshop on System Level Interconnect Prediction.Paris,France,2004:53-60
    83 M.Amde,T.Felicijan,A.Efthymiou,et al.Asynchronous On-chip Networks.IEE Proceedings Computers and Digital Techniques.2005,152(02):273-283
    84 T.A.Bartic,J.-Y.Mignolet,V.Nollet,et al.Highly Scalable Network on Chip for Reconfigurable Systems.Proc of Intl.Symp.on System-on-Chip.Tampere,Finland,2003:79-82
    85 L.Bononi,N.Concer.Simulation and Analysis of Network on Chip Architectures :Ring,Spidergon and 2D Mesh.Proc of DATE.Munich,Germany,2006:154-159
    86 F.Dumitrascu,I.Bacivarov,L.Pieralisi,et al.Flexible MPSoC Platform with Fast Interconnect Exploration for Optimal System Performance for a Specific Application.Proc of DATE.Munich,Germany,2006:166-171
    87 Z.Guz,I.Walter,E.Bolotin,et al.Efficient Link Capacity and QoS Design for Network-on-chip.Proc of DATE.Munich,Germany,2006:9-14
    88 Z.Lu,R.Thid,M.Millberg,et al.NNSE:Nostrum Network-on-chip Simulation Environment.Proc of Swedish System-on-Chip Conference.Stockholm,Sweden,2005:56-59
    89 S.Mahadevan,F.Angiolini,M.Storgaard,et al.A Network Traffic Generator Model for Fast Network-on-chip Simulation.Proc of DATE.Los Alamitos,CA,USA,2005:780-785
    90 D.(?)kerlund.Implementation of a 2x2 NoC with Wishbone Interface.Master's thesis,School for Information and Communication Technology,Royal Institute of Technology.2005
    91 N.Banerjee,P.Vellanki,K.S.Chatha.A Power and Performance Model for Network-on-chip Architectures.Proc of DATE.Paris,France,2004:1250-1256
    92 D. Pamunuwa, J. Oberg, L.-R. Zheng, et al. A Study on the Implementation of 2-D Mesh Based Networks on Chip in the Nanoregime. Integration - The VLSI Journal. 2004, 38(1):3-17
    
    93 T. Li. Estimation of Power Consumption in Wormhole Routed Networks on Chip. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH). 2005
    
    94 M. Liu. Improving the Performance of a Wormhole Router and Wormhole Flow Control. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology. 2005
    
    95 Z. Lu, A. Jantsch. Flit Admission in On-chip Wormhole-switched Networks with Virtual Channels. Proceedings of the International Symposium on System-on-Chip 2003. Bastad,Sweden, 2004:21-24
    
    96 J. Kim, C. Nicopoulos, D. Park. A Gracefully Degrading and Energy-efficient Modular Router Architecture for On-chip Networks. Proc of ISCA.Boston,MA, USA, 2006:4-15
    
    97 M. Palesi, R. Holsmark, S. Kumar, et al. A Methodology for Design of Application Specific Deadlock-free Routing Algorithms for NoC Systems. Proc of Intl. Conf. on Hardware-Software Codesign and System Synthesis. Seoul,Korea, 2006:142-147
    
    98 M. Palesi, S. Kumar, R. Holsmark. A Method for Router Table Compression for Application Specific Routing in Mesh Topology NoC Architectures. Proc.of the SAMOS VI Workshop: Embedded Computer Systems: Architectures,Modeling, and Simulation. Greecs, 2006:373-384
    
    99 S. Santi, B. Lin, L. Kocarev, et al. On the Impact of Traffic Statistics on Quality of Service for Networks on Chip. Proc of IEEE Int'l Symposium on Circuits and Systems (ISCAS). Kobe, Japan, 2005:2349-2352
    
    100 N. Eisley, L.-S. Peh. High-level Power Analysis for On-chip Networks. Proceedings of CASES. 2004:104-115
    
    101 T. Simunic, S. Boyd. Managing Power Consumption in Networks on Chips.Proc of DATE. Washington ,DC, USA, 2002:1530-1591
    
    102 H.-S. Wang, X. Zhu, L.-S. P. Malik. Orion: A Power-performance Simulator for Interconnection Networks. Proc of MICRO 35. Instambul, Turkey, 2002:294-305
    103 R. Marculescu. Networks-On-Chip: The Quest for On-chip Fault-tolerant Communication. Proc of ISVLSI'03. Tampa, Florida, USA, 2003:8-12
    
    104 D. S. Tortosa, J. Nurmi. VHDL-based Simulation Environment for Proteo NoC.Proc of 7th Annual IEEE Intl. Workshop on High Level Design Validation and Test (HLDVT'02). Cannes, France, 2002:1-6
    
    105 I.-L. Chen, Y.-M. Chen, Y.-T. Nieh, et al. Low Power SoC Design Methodology.SoC Technical Journal. 2004:75-83
    
    106 L. Shang, L.-S. Peh, N. K. Jha. Power-Efficient Interconnection Networks Dynamic Voltage Scaling with Links. Computer Architecture Letters. 2002, 1:6-6
    
    107 L. Li, N. Vijaykrishnan, M. Kandemir, et al. Adaptive Error Protection for Energy Efficiency. Proc of ICCD'03. San Jose, CA, 2003:2-7
    
    108 C. D'Alessandro, D. Shang, A. Bystrov, et al. Multiple-rail Phase-encoding for NoC. 12th IEEE Intl. Symp. on Asynchronous Circuits and Systems (ASYNC'06). Grenoble, France, 2006:107-116
    
    109 M.Lajolo, M.S.Reorda, M.Violate. Early Evulation of Bus Interconnects Dependability for System-on-chip Design.the International Conference on VLSI Design. Antalya, Turkey, 2001:371-376
    
    110 M.Cuviello, S.Dey, X.Bai, et al. Fault Modeling and Simulation for Crosstalk in System-on-chip Interconnects. IEEE/ACM International Conference on Computer-Aided Design. San Diego, CA, 1999:297-303
    
    111 H. Zimmer. Fault Modelling and Error-control Coding in a Network-on-chip. Master's thesis, Laboratory of Electronics and Computer Systems, Royal Institute of Technology (KTH), IMIT/LECS 2002-26. 2002
    
    112 J. Hu, R. Marculescu. DyAD Smart Routing for Networks-on-chip. Proc of 41st Design Automation Conference. San Diego, Calif, USA, 2004:260-263
    
    113 Z. Lu. A User Introduction to NNSE: Nostrum Network-on-Chip Simulation Environment. Royal Institute of Technology, Stockholm, 2005
    
    114 F. Worm, P. Ienne, P. Thiran, et al. An Adaptive Low-power Transmission Scheme for On-chip Networks. Proceedings of The 15th International Symposium on System Synthesis. Kyoto, Japan, 2002:92-100
    
    115 H. Zimmer, A. Jantsch. A Fault Model Notation and Error-control Scheme for Switch-to-switch Buses in a Network-on-chip. Proceedings of the CODES-ISSS Conference. Newport Beach, CA, USA, 2003:188-193
    116 S. R. Sridhara, N. R. Shanbhag. Coding for System-on-chip Networks: A Unified Framework. Proc of Design Automation Conference. San Diego, USA,2004:103-106
    
    117 R. Hegde, N. R. Shanbhag. Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise. IEEE Transactions on VLSI Systems. 2000, 8(4):379-391
    
    118 D. Bertozzi, L. Benini, G. D. Micheli. Low Power Error Resilient Encoding for On-chip Data Buses. Proc of DATE. Paris, France, 2002:102-109
    
    119 D. Bertozzi, L. Benini, G. D. Micheli. Error Control Schemes for On-chip Communication Links: The Energy-reliability Trade-off. IEEE Transactions on CAD. 2005, 24(6):818-831
    
    120 A. Jantsch, R. Lauter, A. Vitkowski. Power Analysis of Link Level and End-to-end Data Protection on Networks on Chip. Proceedings of the IEEE International Symposium on Circuits and Systems. Kobe, Japan, 2005:23-26
    
    121 H. Zhang, V. George, J. M.Rabaey. Low-swing On-chip Signaling Techniques:Effectiveness and Robustness. IEEE Transactions on Very and Large Integration Systems. 2000, 8(3):264-272
    
    122 G. A. Sammane, J. Schmaltz, D. Borrione. Formal Design and Verification of On-chip Networking. Proceedings of the 1st International Conference on Information & Communication Technologies: from Theory to Applications (ICTTA'04). 2004:657-658
    
    123 R. Agrawal, R. L. Cruz, C. Okino, et al. Performace Bounds for Flow Control Protocols. IEEE Transactions on Networking. 1999, 7(3):310-323
    
    124 H. Sariowan. A Service -curve Approach to Perforamnce Guarrantees in Integrated-service Networks. Ph.D. thesis, University of California. 1996
    
    125 J. C. R. Bennett, K. Benson, A. Charny, et al. Delay Jitter Bounds and Packet Scale Rate Guarantee for Expedited Forwarding. IEEE/ACM Transactions on networking. 2002, 10(3):529-540
    
    126 T. Lei, S. Kumar. A Two Genetic Algorithm for Mapping Task Graphs to a Network on Chip Architecture. Proc of DSD'03. Antalya, Turkey, 2003:180-187
    
    127 V.-D. Ngo, H.-N. Nguyen, H.-W. Cho. Designing On-chip Network Based on Optimal Latency Criteria. Lecture Notes in Computer Science. 2005,3820:287-298
    128 D. Bertsekas, D. P. Bertsekas. Data Network. Prentice Hall, 2nd Edition. 1991
    
    129 J. L. Boudec, P. Thiran. Network Calculus:a Theory of Deterministic Queuing Systems for the Internet. LNCS 2050,Springer. 2001
    
    130 F. Baccelli, G. Cohen, G. Olsder, et al. Synchronization and Linearity: An Algebra for Discrete Event Systesm. John Wiley and Sons. 1992
    
    131 L.Thiele, S.Chakraborty, M.Naedele. Real-time Calculus for Scheduling Hard Real-time Systems. Proc of ISCAS. Samos, Greece, 2000:101-104
    
    132 L. Thiele, S. Chakraborty, M. Gries. A Framework for Evaluating Design Tradeoffs in Packet Processing Architectures. Proc of DAC. New Orleans, USA,2002:880-885
    
    133 S. Chakraborty, S. Kunzli, L. Thiele, et al. Performance Evaluation of Network Processor Architectures: Combining Simulation with Analytical Estimation. Computer Networks. 2003, 41(5):641-665
    
    134 A. Maxiaguine, S. Kunzl. Rate Analsysis for Streaming Application with On-chip Buffer Constraints. Proc of ASP-DAC'04. Yokohama, Japan, 2004:131-136
    
    135 Z. Lu, A. Jantsch. Traffic Configuration for Evaluating Networks on Chips.Proceedings of the 5th International Workshop on Systems on Chip (IWSOC).Banff,Canda, 2005:535-540
    
    136 G. Ascia, V. Catania, M. Palesi. Multi-objective Mapping for Mesh-based NoC Architectures. Second IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. Stockholm, Sweden,2004:182-187
    
    137 J. Hu, R. Marculescu. Energy- and Performance-aware Mapping for Regular NoC Architectures. IEEE Trans, on Computer-Aided Design of Integrated Circuits and Systems. 2005, 24(4):551-562
    
    138 K. Srinivasan, K. S. Chatha. A Low Complexity Heuristic for Design of Custom Network-on-chip Architectures. Proc of DATE. Munich, Germany, 2006:130-135
    
    139 K. Srinivasan, K. S. Chatha, G. Konjevod. Linear Programming Based Techniques for Synthesis of Network-on-chip Architectures. IEEE Transactions on VLSI Systems. 2006, 14(4):407-420
    
    140 P. Bhojwani. Mapping Multimode System Communication to a Network-on-a-chip (NoC). Master's thesis. 2003
    141 A.Hansson,K.Goossens,A.R(?)dulescu.A Unified Approach to Constrained Mapping and Routing on Network-on-chip Architectures.Int'l Conference on Hardware/Software Codesign and System Synthesis(CODES+ISSS).NJ,USA,2005:75-80
    142 H.-E.Rhee,H.-Y.Jeong,S.Ha.Many-to-many Core-switch Mapping in 2-d Mesh NoC Architectures.Proc of the 22rd Intl.Conf.on Computer Design (ICCD).San Jose,CA,USA,2004:438-443
    143 D.Bertozzi,A.Jalabert,S.Murali.NoC Synthesis Flow for Customized Domain Specific Mutliprocessor Systems-on-Chip.IEEE Transactions on Parallel and Distributed Systems.2005,16(2):113-129
    144 K.Srinivasan,K.S.Chatha.A Technique for Low Energy Mapping and Routing in Network-on-chip Architectures.Proc of ISLPED'05.San Diego,California,USA,2005:387-392
    145 L.Zakrevski,S.Jaiswal,L.Levitin,et al.A New Method for Deadlock Elimination in Computer Networks with Irregular Topologies.Proc of the IASTED Conf.PDCS-99.Boston,USA,1999:396-402
    146 M.K.-F.,T.Hollstein,H.Zimmer,et al.Deadlock-free Routing and Component Placement for Irregular Mesh-basedNetworks-on-chip.Proc of ICCAD'05.San Jose,CA,USA,2005:238-245
    147 E.Bolotin,I.Cidon,R.Ginosar,et al.Efficient Routing in Irregular Topology NoCs.CCIT Report 554,Elec.Eng.Dept,Technion.2005
    148 Jose.Duato,Sudhakar.Yalamanchili,Lionel.Ni.并行计算机互连网络技术-一种工程的方法.电子工业出版社,2004.1

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700