高性能片上网络关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体工艺的发展,片上系统(System on Chip, SoC)集成的IP核越来越多,单个芯片可集成数百个IP核。传统的总线通信方式在可扩展性、带宽、功耗、延迟和可靠性等方面难以满足复杂SoC系统需求。通过借鉴分布式计算网络通信方式,片上网络(Network on Chip, NoC)技术在芯片内部各个模块间采用路由和分组交换技术进行数据通信,可有效地解决复杂的SoC通信问题。
     本论文深入分析了NoC设计的关键技术,总结了系统级NoC设计方法。为了提高NoC系统在功耗、网络吞吐率及平均网络延迟等方面的性能,在系统级对NoC拓扑结构、映射算法和功耗管理等方面展开深入研究,提出了一些新颖的具有实际应用价值的理论与方法。主要的研究内容和研究成果如下:
     (1)在NoC拓扑结构方面,提出了一种新颖的基于五角星形的NoC拓扑结构。该结构采用约翰逊编码实现NoC节点编码,使用全局同步局部异步策略设计基于约翰逊编码的环形FIFO。利用地址的独热码编码方式降低信号亚稳态发生概率,提高存储器读写操作速度。根据五角星形拓扑结构特点,设计由区间路由与组内路由组成的最短路径路由算法。通过理论分析和实验仿真两种方法证明五角星形拓扑结构比2D Mesh和Octagon结构具有更优的网络性能。
     (2)为了评估NoC系统FPGA资源占用情况,本文在Xilinx FPGA上验证了基于五角星形拓扑结构的NoC系统。随着系统规模的增长,Pentacle结构牺牲部分面积可获得NoC性能明显的提高。根据拓扑结构的特点,探讨了降低路由算法资源占用的方法,提出了针对五角星形拓扑结构的基于约翰逊编码的路由算法,经仿真验证证明该方法比二进制编码的路由算法占用更少的FPGA资源。
     (3)针对带宽和时延约束的低能耗NoC映射问题,提出两种基于遗传算法的映射优化算法,分别为灾变遗传退火和自适应混沌遗传退火算法。论文对优化算法中使用到的遗传基因编码、Boltzmann更新机制、交叉与变异操作、灾变遗传、多邻域的模拟退火以及自适应的混沌优化策略进行了深入研究,并通过大量实验得到了合适的算法参数。在算法的实际优化过程中,引入Boltzmann更新机制选择遗传个体,根据当前种群适应度的计算结果动态调整个体被选择的概率,使得算法搜索向适应度最优的方向靠拢,增加较优个体被选择的概率;对遗传操作后的较优个体采用多邻域的模拟退火操作进行优化,提高算法的精确度。对处于停滞状态的种群,灾变遗传退火算法使用灾变操作重新初始化部分较差个体,跳出局部极值;而自适应混沌遗传退火则引入自适应混沌方法优化适应度较差个体,增加种群多样性。仿真结果表明,两种算法均具有较强的全局搜索和局部寻优的能力,有效地避免了早熟收敛,提高了算法收敛速度。与标准遗传算法、混沌遗传算法相比,具有较好的节能效果,有效地降低了NoC系统通信能耗。
     (4)针对支持电压频率岛的NoC能耗优化问题,提出了基于电压频率岛划分、分配以及任务映射的能耗优化方法。该方法通过基于处理器可靠性约束的电压频率岛划分,降低了处理器能耗;利用近凸区域选择的电压频率岛分配策略,减少了不同电压岛间复杂路由器的个数;借助量子粒子群算法优化了NoC映射,降低了系统的通信能耗。经过随机通信任务和应用实例的仿真验证,证明所提出的算法有效地降低了NoC系统的整体能耗。论文在NoC平台中引入多个电压频率岛,通过电压频率岛划分、电压分配、映射优化3种策略的有机结合,在满足处理器可靠性约束条件下实现了NoC功耗的有效管理。
     本文提出的拓扑结构、映射算法以及基于电压频率岛的能耗优化方法,为高性能片上网络系统设计提供重要的技术基础和新的解决思路。
With the development of semiconductor technology, more and more IP cores can be integrated into a System on Chip (SoC), with hundreds of IP cores on a single chip. Conventional bus communication mode fails to meet the requirements of complex SoC in scalability, bandwidth, power, delay and reliability. Borrowing ideas from the distributed computing network communication mode, Network on Chip (NoC) technology employs routing and packet-switching to realize data communication between modules on the chip, thus effectively facilitating complex SoC communication.
     This dissertation analyses in detail the key technologies of NoC design and summarizes a system level NoC design approach. An in-depth study is made of NoC topology, mapping algorithm and power management on system level in an attempt to improve the performance of NoC system in power consumption, network throughput and average network delay. The major researches and the findings are:
     (1) A novel Pentacle NoC topology is proposed, which employs Johnson code to realize NoC node coding. Global synchronization local asynchronization strategy is used to design circular FIFO based on Johnson code. The one-hot coding of address lowers the probability of occurrence of the signal metastable state and improves the memory read/write speed. Based on the features of Pentacle topology, the shortest path routing algorithm consisting of interval routing and in-group routing is designed. Both theoretical analysis and simulation prove that the Pentacle topology is superior to2D Mesh and Octagon topology in network performance.
     (2) The NoC system based on Pentacle topology is verified on Xilinx FPGA to evaluate its FPGA resource occupancy. With the increase in system scale, a dramatic improvement in NoC performance can be achieved by sacrificing part of the area of Pentacle structure. Various methods for lowering the resource occupancy of the routing algorithm are discussed, and the algorithm based on Johnson code is proposed for Pentacle topology. Simulation verifies that the proposed method occupies less FPGA resources than binary-coded routing algorithm.
     (3) Two mapping optimization algorithms based on genetic algorithm, namely the catastrophic genetic annealing and adaptive chaos genetic annealing, are proposed for the low energy consumption mapping of NoC with bandwidth and delay constraints. A thorough study is made of the genetic coding, Boltzmann updating mechanism, cross and mutation operation, catastrophic genetics, multi-neighborhood simulated annealing and the adaptive chaos optimization strategy used in the optimization algorithm, and proper algorithm parameters are obtained through extensive experiments. In actual algorithm optimization, Boltzmann updating mechanism is introduced to select genetic individuals. The probability of an individual being selected is dynamically adjusted according to current population fitness for the algorithm search to get close to the optimal fitness thus increasing the probability of better individuals being selected; better individuals in genetic operations are optimized by multi-neighborhood simulated annealing to improve algorithm accuracy. For the population in stagnation, the catastrophic genetic annealing algorithm uses catastrophic operation to reinitialize part of worse individuals so as to jump out of a local optimum; while the adaptive chaos genetic annealing introduces adaptive chaos technique to optimize worse fitness individuals for better population diversity. Simulation shows that both algorithms have good global searching and local optimization ability, thus effectively avoiding premature convergence and improving algorithm convergence speed; and that they have higher energy efficiency than standard genetic algorithms and chaos genetic algorithms, thus significantly lowering the energy consumption of NoC system communication.
     (4) For energy consumption optimization of NoC with voltage frequency islands, an optimization method is proposed based on voltage frequency island partitioning, assignment and task mapping. Voltage frequency island partitioning based on processor reliability constraint is used to reduce processor energy consumption; the voltage-frequency islands assignment strategy of near convex region selection is employed to reduce the number of complex routers between different voltage islands; and NoC mapping is optimized by Quantum-behaved Particle Swarm Optimization algorithm to lower system communication energy consumption. Simulation of random communication tasks and examples of application shows that the proposed algorithm can effectively reduce the overall energy consumption of the NoC system. The introduction of multiple voltage frequency islands on the NoC platform realizes effective NoC power management while satisfying processor reliability constraints by incorporating the three strategies of voltage frequency island partitioning, voltage assignment and mapping optimization.
     The topology, mapping algorithm and energy consumption optimization based on voltage frequency island proposed in this dissertation provide crucial technical foundation for and a novel approach to high performance NoC system design.
引文
[1.1]International Technology Roadmap for Semiconductors(ITRS),2009 http://www.itrs.net/Links/20091TRS/Home2009.htm.
    [1.2]Wolf W, Jerraya A, Martin G. Multiprocessor System-on-Chip (MPSoC) Technology. IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems,2008,27(10):1701-1713.
    [1.3]Bossen D C, Tendler J M, Reick K. Power4 system design for high reliability. IEEE Micro,2002,22(2):16-24.
    [1.4]Wang D T. The CELL Microprocessor. Proceedings of IEEE International Solid-State Circuits Conference (ISSCCC),2005:1-9.
    [1.5]Kongetira P, Aingman K, Olukotu K. Niagara:A 32-way multithreaded Sparc processor. IEEE Micro,2005,25(2):21-29.
    [1.6]Goodacre J, Sloss A N. Parallelism and the ARM instruction set architecture. Computer,2005,38(7):42-50.
    [1.7]Taylor M B, Kim J, Miller J, et al. The Raw microprocessor:a computational fabric for software circuits and general-purpose programs. IEEE Micro,2002, 22(2):25-35.
    [1.8]郭兵,沈艳,林永宏等.SOC技术原理与应用.北京:清华大学出版社,2006.
    [1.9]Murali S. Methodologies for Reliable and Efficient Design of Networks on Chip.博士论文,Stanford:Stanford University,2007.
    [1.10]Oka M, Suzuoki M. Designing and programming the emotion engine. IEEE Micro,1999,01 (6):20-28.
    [1.11]Pham D C, Aipperspach T, Boerstler D, et al. Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor. IEEE Journal of Solid-State Circuits,2006,41(1):179-196.
    [1.12]朱樟明,周端,杨银堂.片上网络的研究与进展.计算机工程.2007,33(24):239-241.
    [1.13]Zipf P, Hinkelmarm H, Ashraf A, et al. A switch architecture and signal synchronization for GALS system-on-chips. The 17th Symposium on Integrated Circuits and Systems Design(SBCCI 2004), Pernambuco, Brazil, September, 2004:210-215.
    [1.14]Debaes C, Bhatnagar A, Agarwal D, et al. Receiver-less optical clock injeetion for clock distribution networks. IEEE Journal of Selected Topics in Quantum Electronics.2003,9(2):400-409.
    [1.15]Horak M N, Nowick S M, Carlberg M, et al. A Low-Overhead Asynchronous Interconnection Network for GALS Chip Multiprocessors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2011,30(4): 494-507.
    [1.16]Benini L, Micheli G D. Powering networks on chips:energy-efficient and reliable interconnect design for Socs. Proceedings of the 14th International Symposium on System Synthesis(ISSS'01),2001:33-38.
    [1.17]Dally W, Towles B. Route packets, not wires:on-chip interconnection networks. Proceedings of the Design Automation Conference, Las Vegas, NV,2001: 684-689.
    [1.18]Balakrishnan A, Naeemi A. Optimal Global Interconnects for Networks-on-Chip in Many-Core Architectures. IEEE Electron Device Letters,2010,31(4): 290-292.
    [1.19]Rabindra K, Srivastava P, Sharma G K. Network-on-chip:on-chip communication solution. International Review on Computers and Software, 2010,5(1):22-33.
    [1.20]高明伦,杜高明.NoC:下一代集成电路主流设计技术.微电子学.2006,36(1):461-466.
    [1.21]Hu J. Design methodologies for application specific networks-on-chip.博士论文,Pittsburgh:Carnegie Mellon University,2005.
    [1.22]Axel J, Hannu T. Networks on chip. Kluwer Academic Publishers,2003.
    [1.23]Salminen E, Kulmala A, Hamalainen T D. On network-on-chip comparison. The 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools,2007(DSD 2007), Lubeck, Germany,2007:503-510.
    [1.24]Anh T T, Dean N T, Baas, B. A Reconfigurable Source-Synchronous On-Chip Network for GALS Many-Core Platforms. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2010,29(6): 897-910.
    [1.25]Lu Z, Thid R, Millberg, et al. NNSE:nostrum network-on-chip simulation environment. Swedish System-on-Chip Conference (SSoCC'03), Swedish, April 2005:1-4.
    [1.26]Goossens K, Dielissen J, Radulescu A. AEthereal network on chip:concepts, architectures, and implementations. IEEE Design&Test of Computers,2005, 22(5):414-421.
    [1.27]Bertozzi D, Benini L. Xpipes:a network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits and Systems Magazine,2004,4(2):18-31.
    [1.28]Adriallantenalna A, Charlery H, Greinerr A, et al. SPIN:a scalable, packet switched, on-chip mico-network. Proceedings of Design, Atomation and Test in Europe conference and exhibition. Munich, Germany,2003:70-73.
    [1.29]Bainbridge J, Furber S. Chain:a delay-insensitive chip area interconnect. IEEE Mico,2002,22(5):16-23.
    [1.30]Beigne E, Clermidy F, Vivet P, et al. An asynchronous NoC architecture providing low latency service and its multi-level design framework. Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems(ASYNC 2005), March 2005:54-63.
    [1.31]Wang H, Zhu X, Peh L, et al. Orion:a power-performance simulator for interconnection networks. Proceedings of the 35th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-35), Istanbul, Turkey, November 2002:294-305.
    [1.32]Liang J, Swaminathan S, Tessier R. aSOC:a scalable, single-chip communi-cations architecture. Proceedings of the IEEE International Conference on Parallel Architectures and Compilation Techniques, October 2000:37-46.
    [1.33]Siguenza-Tortosa D, Nurmi J. Proteo:a new approach to network-on-chip. Proceedings of IASTED International Conference on Communication Systems and Networks (CSN'02), Malaga, Spain, September,2002:355-357.
    [1.34]Wiklund D, Liu D. SoCBUS:switched network on chip for hard real time embedded systems. Proceedings of the International Parallel and Distributed Symposium(IPDPS'03), April,2003:78-85.
    [1.35]STMicroelectronics. STNOC:building a new system-on-chip paradigm. White Paper,2005.
    [1.36]NIRGAM:A Simulator for NoC Interconnect Routing and Application Modeling. http://nirgam.ecs.soton.ac.uk/.
    [1.37]Noxim:the NoC Simulator.http://www.noxim.org/.
    [1.38]Stuart M, Stensgaard, M, Spars J. The ReNoC reconfigurable network-on-chip: architecture, configuration algorithms, and evaluation. ACM Transactions on Embedded Computing Systems,2011,10(4):45.1-26.
    [1.39]Sankaralingam K, Nagarajan R, Haiming L, et al. Exploiting ILP, TLP, and DLP with the polymorphous trips architecture. IEEE Micro,2003,23(6):46-51.
    [1.40]Glossner G. The sandbridge sandblaster SB3000 multithreaded CMP platform. Proceedings of the MPSoC workshop. Margaux, France. July,2005:18-23.
    [1.41]Lattard D, Beigne E, Bernard C, et al. A telecom baseband circuit based on an asynchronous network-on-chip.IEEE International Solid-State Circuits Conference (ISSCC2007),2007:258-601.
    [1.42]Vangal S, Howard J, Ruhl G, et al. An 80-tile 1.28 TFLOPS network-on-chip in 65nm CMOS. IEEE International Solid-State Circuits Conference(ISSCC2007), 2007:98-589.
    [1.43]国家自然科学基金网.http://www.nsfc.gov.cn/
    [1.44]荆元利,樊晓桠,张盛兵等.基于片上网络的系统芯片测试研究.微电子学与计算机,2004,21(6):154-159.
    [1.45]周国昌,沈绪榜mesh结构NoC的时钟网络研究.西北工业大学学报,2006,24(4):472-476.
    [1.46]周干民.NoC基础研究.博士论文,合肥:合肥工业大学,2005.
    [1.47]马立伟,孙义和.片上网络拓朴优化:在离散平面上布局与布线.电子学报,2007,35(5):906-911.
    [1.48]林世俊,张凡,全德鹏等.分布式同步的GALS片上网络及其接口设计.清华大学学报(自然科学版),2008,48(1):32-35.
    [1.49]朱晓静.片上网络的结构设计与性能分析.博士论文,合肥:中国科学技术大学,2008.
    [1.50]张恒龙,顾华玺,王长山.片上网络拓扑结构的研究.中国集成电路,2007102:42-46.
    [1.51]刘有耀.片上网络拓扑结构与通信方法研究.博士论文,西安:西安电子科技大学,2009.
    [1.52]管旭光.异步片上网络的关键技术研究.博士论文,西安:西安电子科技大学,2011.
    [1.53]武畅.片上网络体系结构和关键通信技术研究.博士论文,成都:电子科技大学,2009.
    [1.54]常政威.网络化MPSoC高能效设计技术研究.博士论文,成都:电子科技大学,2009.
    [1.55]Shacham A, Bergman K, Carloni L. Photonic networks-on-chip for future generations of chip multi-processors. IEEE Transactions on Computers, 2008,57(9):1246-1260.
    [1.56]Gu H, Xu J, Zhang W. A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. Proceedings of Design, Automation and Test in Europe (DATE'09),2009:3-8.
    [1.57]Liu Yangfan, Liu Peng, Jiang Yingtao. Building a multi-FPGA-based emulation framework to support networks-on-chip design and verification. International Journal of Electronics,2010,97(10):241-1262.
    [1.58]Wang Xiao fang, Thota S. A resource-efficient communication architecture for chip multiprocessors on FPGAs. Journal of Computer Science and Technology, 2011,26(3):434-447.
    [1.59]Ogras U, Marculescu R. It's a small world after all:NoC performance optimization via long-range link insertion. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2006,14(7):693-706.
    [1.60]Lee H, Ogras U, Marculescu R, et al. Design space exploration and prototyping for on-chip multimedia applications. Proceedings of the 43rd ACM/IEEE Design Automation Conference, September,2006:137-142.
    [1.61]Hadjiat K, St-Pierre F, Bois G, et al. An FPGA implementation of a scalable network-on-chip based on the token ring concept. Proceedings of the 14th IEEE International Conference on Electronics, Circuits and Systems (ICECS2007). Marrakech, Morocco, December.2007:995-998.
    [1.62]Alaraje N, DeGroat J. Performance analysis of future System-on-FPGA topology candidates. Proceedings of the 52nd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS'09). Cancun, Mexico, August, 2009:1114-1117.
    [1.63]Baklouti M, Aydi Y, Marquet P, et al. Scalable mpNoC for massively parallel systems-Design and implementation on FPGA. Journal of Systems Architecture, 2010,56(7):278-292.
    [1.64]邓植,顾华玺,杨银堂等.基于拓扑划分的片上网络快速映射算法.电子与信息学报,2011,33(12):3028-3034.
    [1.65]Wang Xiaohang, Yang Mei, Jiang Yingtao. A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints. Transactions on Architecture and Code Optimization,2010,7(1):1-30.
    [1.66]Wang Jian, Li Yubai, Chai Song. Bandwidth-aware application mapping for NoC-based MPSoCs. Journal of Computational Information Systems,2011, 7(1):152-159.
    [1.67]Da S M, Nedjah N, Mourelle L. Power-aware multi-objective evolutionary optimisation for application mapping on network-on-chip platforms. International Journal of Electronics,2010,97(10):1163-1179.
    [1.68]Tosun S. New heuristic algorithms for energy aware application mapping and routing on mesh-based NoCs. Journal of Systems Architecture,2011, 57(l):69-78.
    [1.69]Bhardwaj K, Jena R K. Energy and bandwidth aware mapping of IPs onto regular NoC architectures using multi-objective genetic algorithms. Proceedings of the 11th International Conference on System-on-chip. Tampere, IEEE,2009: 27-31.
    [1.70]Lei T, Kumar S. A two-step genetic algorithm for mapping task graphs to a network on chip architecture. Proceedings of the Euromicro Symposium on Digital System Design. Belek Antalya:IEEE Computer Society,2003:180-87.
    [1.71]Srinivasan K, Chatha K S, Konjevod G. Linear programming-based techniques for synthesis of network on chip architectures. IEEE Transactions on Very Large Scale Integration Systems.2006,14(4):407-420.
    [1.72]Shen T, Chao C, Lien Y, et al. A new binomial mapping and optimization algorithm for reduced-complexity mesh-based on-chip-network. Proceedings of the 1st International Symposium on Network-on-Chip (NOCS'07),2007: 317-322.
    [1.73]杨盛光,李丽,高明伦等.面向能耗和延时的NoC映射方法.电子学报,2008,36(5):937-942.
    [1.74]Moein-Darbari F, Khademzade A, Gharooni-Fard G. CGMAP:a new approach to network-on-chip mapping problem. IEICE Electronics Express,2009,6(1): 27-34.
    [1.75]刘炎华,刘静,赖宗声等.基于遗传蚁群算法的片上网络映射研究.计算机工程,2010,36(22):262-264.
    [1.76]Bertozzi D, Jalabert A, Murali S, et. al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Transactions on Parallel and Distributed Systems,2005,16(2):113-129.
    [1.77]Chou C, Marculescu R. Incremental run-time application mapping for homogeneous NoCs with multiple voltage levels. Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis,2007:161-166.
    [1.78]Ogras U, Marculescu R, Marculescu D, et al. Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2009,17(3):330-341.
    [1.79]Ogras U, Marculescu R, Choudhary P, et al. Voltage-frequency island partitioning for GALS-based networks-on-chip. Proceedings of the 44th Annual Conference on Design Automation, San Diego,2007:110-115.
    [1.80]Leung L, Tsui C. Energy-aware synthesis of networks-on-chip implemented with voltage islands. Proceedings of the 44th annual conference on Design automation, San Diego, California,2007:128-131.
    [1.81]Jang W, Pan D Z. A voltage-frequency island aware energy optimization framework for networks-on-chip. IEEE Journal on Emerging and Selected Topics in Circuits and Systems,2011,3(1):420-432.
    [1.82]Ghosh P, Sen A. Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints. Proceedings of the ACM Symposium on Applied Computing, Sierre, Switzerland,2010:535-541.
    [1.83]Majzoub S S, Saleh R A, Wilton S J E, et al. Energy optimization for many-core platforms:communication and PVT aware voltage-island formation and voltage selection algorithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2010,29(5):816-829.
    [1.84]Seiculescu C, Murali S, Benini L, et al. Comparative analysis of NoCs for two-dimensional versus three-dimensional SoCs supporting multiple voltage and frequency islands. IEEE Transactions on Circuits and Systems Ⅱ:Express Briefs,2010,57(5):364-368.
    [1.85]Pillamari P, Jagannadha N, Kittur H. Power reduction using DVFS with a producer-consumer FIFO.2011 International Conference on Signal Processing, Communication, Computing and Networking Technologies(ICSCCN-2011), 2011:454-458.
    [1.86]Kim J, Horowitz M. Adaptive supply serial links with sub-1-V operation and per-pin clock recovery. IEEE Journal of Solid-State Circuits,2002,37(11): 1403-1413.
    [1.87]Shang L, Peh L, Jha N. Dynamic voltage scaling with links for power optimization of interconnection networks. Proceedings of the Ninth International Symposium on High-Performance Computer Architecture(HPCA'03), Anaheim, CA.2003:91-102.
    [1.88]Chen G, Li F, M Kandemir, et al. Reducing NoC energy consumption through compiler-directed channel voltage scaling. Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation, 2006:193-203.
    [1.89]Shin D, Kim J. Power-aware communication optimization for networks-on-chips with voltage scalable links. Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, 2004:170-175.
    [1.90]Dakai Z, Melhem R, Mosse D. The effects of energy management on reliability in real-time embedded systems. Proceedings of the International Conference on Computer Aided Design,2004:35-40.
    [1.91]Li K, Pai C, Chen L. Maximal interconnect resilient methodology for fault tolerance, yield, and reliability improvement in network on chip. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences,2011, E94-A(12):2649-2658.
    [2.1]刘有耀,杜慧敏,韩俊刚.NoC关键问题.计算机科学.2008,35(2):31-34.
    [2.2]Dally W J, Towles B. Principles and Practices of Interconnection Networks. Morgan Kaufmann,2004.
    [2.3]Bjerregaard T, Mahadevan S. A survey of research and practices of network-on-chip. ACM Computing Surveys,2006,38 (1):1-54.
    [2.4]Dehyadgari M, Nickray M, Afzali-kusha A, et al. A new protocol stack model for network on chip. IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06),2006:440-441.
    [2.5]Kumar S, Jantsch A, Soininen J, et al. A network on chip architecture and design methodology. Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI2002),2002:105-112.
    [2.6]Marculescu R, Ogras U Y, Peh L, et al. Outstanding research problems in NoC design:system, microarchitecture, and circuit perspectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2009,28(1): 3-21.
    [2.7]王炜,乔林,汤志忠.片上网络互连拓扑综述.计算机科学,2011,38(10):1-5.
    [2.8]Duato J, Yalamanchili S, Ni L. Interconnection Networks:an engineering approach. San Mateo, CA:Morgan Kaufmmm,2002.
    [2.9]常政威.网络化MPSoC高能效设计技术研究.博士论文,电子科技大学,2009.
    [2.10]Goossens K, Dielissen J, Radulescu A. AEthereal network on chip:concepts, architectures, and implementations. IEEE Design&Test of Computers,2005, 22(5):414-421.
    [2.11]Zeferino C A, Susin A A. SoCIN:a parametric and scalable network-on-chip. Proceedings of the 16th symposium on Integrated Circuits and Systems Design (SBCCI2003),2003:169-174.
    [2.12]Wiklund D, Liu D. SoCBUS:switched network on chip for hard real time embedded systems. Proceedings of the International Parallel and Distributed Symposium (IPDPS'03),2003:78-85.
    [2.13]Moraes F, Calazans N, MeUo A, et al. HERMES:an infrastructure for low area overhead packet-switching networks on chip. Integration, the VLSI Journal, 2004,38(1):69-93.
    [2.14]Dally W, Towles B. Route packets, not wires:on-chip interconnection networks. Proceedings of the Design Automation Conference, Las Vegas, NV,2001: 684-689.
    [2.15]Karim F, Nguyen A, Dey S. An interconnect architecture for networking systems on chips. IEEE Micro,2002,22(5):36-45.
    [2.16]Liu C, Chen J H, Manohar R, et el. Mapping system-on-chip designs from 2-D to 3-D ICs. IEEE International Symposium on Circuits and Systems (ISCAS 2005), May 2005,3:2939-2942.
    [2.17]Xu T, Yin A, Liljeberg P, et al. A study of 3D network-on-chip design for data parallel H.264 coding. Microprocessors and Microsystems,2011,35(7):603-612.
    [2.18]Xue Licheng, Shi Feng, Ji Weixing.3D floorplanning of low-power and area-efficient network-on-chip architecture. Microprocessors and Microsystems, 2011,35(5):484-495.
    [2.19]Coppola M, Locatelli R, Maruccia G, et al. Spidergon:a novel on-chip communication network. Proceedings of 2004 International Symposium on System-on-Chip, November,2004:15-18.
    [2.20]Saneei M, Afzali-Kusha A, Navabi Z. Low-power and Low-latency Cluster Topology for local traffic NoCs. In Proceedings of 2006 IEEE International Symposium on Circuits and Systems, Island of Kos, Greece, May,2006,1:1-5.
    [2.21]刘有耀,韩俊刚.一种星簇双环片上网络拓扑结构.西安电子科技大学学报 (自然科学版),2009,36(6):1063-1069.
    [2.22]Lin S, Su L, Su H, et al. Hierarchical cluster-based irregular topology customization for networks-on-chip.2008 IEEE/IFIP International Conference on Embedded and Ubiquitous Computing,2008:373-377.
    [2.23]Guerrier P, Greiner A. A generic architecture for on-chip packet-switched interconnections. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, March,2000:250-256.
    [2.24]Pande P, Grecu C, Ivanov A, et al. Design of a switch for network on chip applications. Proceedings of the 2003 International Symposium on Circuits and Systems (ISCAS'03), May,2003,5:217-220.
    [2.25]Manevich R, Cidon I, Kolodny A, et al. Centralized Adaptive Routing for NoCs. IEEE Computer Architecture Letters,2010,9(2):57-60.
    [2.26]Lotfi-Kamran P, Rahmani A M, Daneshtalab M, et al. EDXY-A low cost congestion-aware routing algorithm for network-on-chip. Journal of Systems Aichitecture,2010,56(7):256-264.
    [2.27]Hosseini A, Shabro V. Electromigration-aware dynamic routing algorithm for network-on-chip applications. International Journal of High Performance Systems Architecture,2011,3(1):56-63.
    [2.28]Mak T, Cheung P, Lam K, et al.Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Transactions on Industrial Electronics, 2011,58(8):3701-3716.
    [2.29]Chiu G.. The odd-even turn model for adaptive routing. IEEE Transactions on Parallel and Distributed Systems,2000,11(7):729-738.
    [2.30]Hu J, Marculescu R. DyAD-smart routing for networks-on-chip. Proceedings of 41st on Design Automation Conference,2004:260-263.
    [2.31]Li M, Zeng Q, Jone W. DyXY:a proximity congestion-aware deadlock-free dynamic routing method for network on chip. The 43rd ACM/IEEE Design Automation Conference. San Francisco, CA, USA:ACM Press,2006:849-852.
    [2.32]Jia J, Zhou D, Zhang J. A novel adaptive routing algorithm for network-on-chip. Advanced Materials and Computer Science Key Engineering Materials,2011: 413-416.
    [2.33]Sabry M, El-Kharashi M, Bedor H. A new dynamic routing algorithm for networks-on-chips. IEEE Pacific Rim Confercence on Communications, Computers and Signal Processing,2007:346-349.
    [2.34]Lotfi-Kamran P, Daneshtalab M, Lucas C, et al. BARP-A dynamic routing protocol for balanced distribution of traffic in NoCs. Design, Automation and Test in Europe (DATE'08),2008:1408-1413.
    [2.35]Jovanovic S, Tanougast C, Weber S, et al. A new deadlock-free fault-tolerant routing algorithm for NoC interconnections. International Conference on Field Programmable Logic and Applications (FPL2009),2009:326-331.
    [2.36]Huang P, Hwang W. An adaptive congestion-aware routing algorithm for mesh network on-chip platform. IEEE International on SOC Conference (SOCC2009), 2009:375-378.
    [2.37]Samman F A, Hollstein T, Glesner M. Adaptive and Deadlock-Free Tree-Based Multicast Routing for Networks-on-Chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2010,18(7):1067-1080.
    [2.38]Samman F, Hollstein T, Glesner M. New Theory for Deadlock-Free Multicast Routing in Wormhole-Switched Virtual-Channelless Networks-on-Chip. IEEE Transactions on Parallel and Distributed Systems,2011,22(4):544-557.
    [2.39]Wang Jian, Li Yubai, Chai Song. Bandwidth-aware application mapping for NoC-based MPSoCs. Journal of Computational Information Systems,2011, 7(1):152-159.
    [2.40]Tosun S. Cluster-based application mapping method for Network-on-Chip. Advances in Engineering Software,2011,42(10):868-874.
    [2.41]Hu J, Marculescu R. Energy-aware mapping for tile-based NoC architectures under performance constraints. Proceedings of Asia South Pacific Design Automation Conference. Kitakyushu:ACM,2003:233-239.
    [2.42]Murali S, De Micheli G. Bandwidth-constrained mapping of cores onto NoC architectures. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, February,2004,1:896-901.
    [2.43]Srinivasan K, Chatha K. A technique for low energy mapping and routing in network-on-chip architectures. Proceedings of the 2005 International Symposium on Low Power Electronics and Design (ISLPED'05), August,2005: 387-392.
    [2.44]Ykman-Couvreur Ch, Nollet V, Marescaux Th, et al. Pareto-based application specification for MP-SoC customized run-time management. Proceedings of the International Conference on Embedded Computer Systems:Architectures, Modeling, and Simulation (IC-SAMOS 2006), Samos, Greece, July 2006: 78-84.
    [2.45]詹瑾瑜.SoC软/硬件协同设计方法研究.博士论文,成都:电子科技大学, 2005.
    [2.46]Dick R. Multiobjective synthesis of low-power real-time distributed embedded system博士学位论文.Princeton:Princeton University,2002.
    [2.47]Benini L, Bogliolo A, Micheli G D. A survey of design techniques for system-level dynamic power management. IEEE Transactions on VLSI,2000, 8(3):299-315.
    [2.48]Ogras U, Marculescu R, Marculescu D, et al. Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2009,17(3):330-341.
    [2.49]Salihundam P, Jain S, Jacob T, et al. A 2 tb/s 6×4 mesh network for a single-chip cloud computer with DVFS in 45 nm CMOS. IEEE Journal of Solid-State Circuits,2011,46(4):757-766.
    [2.50]Beigne E, Clermidy F, Miermont S, et al. Dynamic voltage and frequency scaling architecture for units integration within a GALS NoC. The Second ACM/IEEE International Symposium on Network-on-Chip (NoCS 2008),2008: 129-138.
    [2.51]Worm F, Ienne P, Thiran P, et al. A robust self-calibrating transmission scheme for on-chip networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2005,13(1):126-139.
    [2.52]Simunic T, Boyd S, Glynn P. Managing power consumption in networks on chips. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2004, 12(1):96-107.
    [2.53]Krimer E, Keslassy I, Kolodny A, et al. Static timing analysis for modeling QoS in networks-on-chip. Journal of Parallel and Distributed Computing,2011, 71(5):687-699.
    [2.54]宁欢.片上网络服务质量机制研究.硕士论文.西安:西安电子科技大学.2010.
    [2.55]Bolotin E, Cidon I, Ginosar R, et al. QNoC:QoS architecture and design process for network on chip. Journal of Systems Architecture, special issue on Network on Chip, February 2004,50(2/3):105-128.
    [2.56]Rijpkema E, Goossens K, Radulescu A, et_al. Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE 03),2003:350-355.
    [2.57]Goossens K, Dielissen J, Radulescu A. AEthereal network on chip:concepts, architectures, and implementations. IEEE Design&Test of Computers,2005, 22(5):414-421
    [2.58]Harmanci M, Escudero N, Leblebici Y, et al. Quantitative modelling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip. IEEE International Symposium on Circuits and Systems. 2005,2:1782-1785.
    [2.59]Liang J, Laffely A, Srinivasan S, et al. An architecture and compiler for scalable on-chip communication. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2004,12(7):711-721.
    [2.60]Ogras U, Marculescu R. Analysis and optimization of prediction-based flow control in networks-on-chip. ACM Transactions on Design Automation of Electronic Systems,2008,13(1):1-28.
    [2.61]Gu Huaxi, Xu Jiang, Wang Kun. A new distributed congestion control mechanism for networks on chip.Telecommunication Systems,2010,44(3-4): 321-331.
    [2.62]Wang Chifeng, Hu Wen-Hsiang, Lee Seung Eun, et al. Area and power-efficient innovative congestion-aware Network-on-Chip architecture. Journal of Systems Architecture,2011,57(1):24-38.
    [2.63]Ogras U, Marculescu R. Prediction-based flow control for network-on-chip traffic. Proceedings of the 43rd ACM/IEEE Design Automation Conference.2006:839-844.
    [2.64]Ciordas C, Basten T, Radulescu R, et al. An event-based network on chip monitoring service. ACM Transactions on Design Automation of Electronic Systems,2005,10(4):702-723.
    [2.65]朱小虎,曹阳,王力纬.多级拥塞控制的NoC路由算法.北京邮电大学学报,2007,30(5):91-94.
    [2.66]袁景凌,刘华,谢威等.基于拥塞控制的片上网络多播路由算法.计算机应用.2011,31(10):2630-2633.
    [2.67]Lee S, Sakurai T. Run-time voltage hopping for low-power real-time systems. Proceedings of the 37th Design Automation Conference,2000:806-809.
    [2.68]Murali S, Coenen M, Radulescu A, et al. Mapping and configuration methods for multi-use-case networks on chips. Proceedings of Asia and South Pacific Conference on Design Automation,2006:146-151.
    [2.69]周端,彭景,张剑贤等.低功耗片上网络路由器设计.计算机应用,2011,31(10):2621-2624.
    [2.70]Wang H, Zhu X, Peh L, et al. Orion:a power-performance simulator for interconnection networks. Proceedings of the 35th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-35), Istanbul, Turkey, November 2002:294-305.
    [2.71]Wang H, Peh L, Malik S. Power-driven design of router microarchitectures in on-chip networks. Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture(MICRO-36). November,2003:105-116.
    [2.72]Zhang M. Latency reduction techniques in chip multiprocessor cache systems.博士论文,Massachusetts Institute of Technology,2006.
    [2.73]Jin L, Lee H, Cho S. A flexible data to L2 cache mapping approach for future multicore processors. Proceedings of the ACM Workshop on Memory Systems Performance and Correctness (MSPC),October,2006:92-101.
    [2.74]刘毅.总线及OCN互连低功耗设计方法研究.博士论文,西安:西安电子科技大学,2010.
    [2.75]Shang L, Peh L, Jha N. Dynamic voltage scaling with links for power optimization of interconnection networks. Proceedings of the Ninth International Symposium on High-Performance Computer Architecture(HPCA'03), Anaheim, CA.2003:91-102.
    [2.76]Tang X, Wong D. FAST-SP:a fast algorithm for block placement based on sequence pair. Proceedings of Asia and South Pacific Design Automation Conference, January,2001:512-526.
    [2.77]Hu J, Shin Y, Dhanwada N, et al. Architecting voltage islands in core-based system-on-a-chip designs. Proceedings of the 2004 International Symposium on Low Power Electronics and Design(SLPED'04), August,2004, Newport Beach, California, USA,2004:180-185.
    [2.78]Soininen J, Jantsch A, Forsell M, et al. Extending platform-based design to network on chip systems. Proceedings of 16th International Conference on VLSI Design,2003:401-408.
    [3.1]Duato J, Yalamanchili S, Ni L. Interconnection Networks:an engineering approach. San Mateo, CA:Morgan Kaufmmm,2002.
    [3.2]Dally W, Towles B. Route packets, not wires:on-chip interconnection networks. Proceedings of the Design Automation Conference, Las Vegas, NV,2001: 684-689.
    [3.3]Leiserson C. Fat-trees:universal networks for hardware-efficient supercomputing. IEEE Transactions on Computers,1985,34(10):892-901.
    [3.4]Karim F, Nguyen A, Dey S. An interconnect architecture for networking systems on chips. IEEE Micro,2002,22(5):36-45.
    [3.5]Guerrier P, Greiner A. A generic architecture for on-chip packet-switched interconnections. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, March,2000:250-256.
    [3.6]Millberg M, Nilsson E, Thid R, et al.The Nostrum backbone-a communication protocol stack for networks on chip. Proceedings of the VLSI Design Conference, Mumbai, India. January 2004:693-696.
    [3.7]Bertozzi D, Benini L. Xpipes:a network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits and Systems Magazine,2004,4(2):18-31.
    [3.8]Goossens K, Dielissen J, Radulescu A. AEthereal network on chip:concepts, architectures, and implementations. IEEE Design&Test of Computers,2005, 22(5):414-421.
    [3.9]Rahmani A, Liljeberg P, Plosila J, et al.An efficient VFI-based NoC architecture using johnson-encoded reconfigurable FIFOs. Proceedings of the 28th Norchip Conference(NORCHIP 2010),2010:1-5.
    [3.10]Yu Z, Baas B. High performance, energy efficiency, and scalability with GALS chip multiprocessors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2009,17(1):66-79.
    [3.11]Horak M, Nowick S, Carlberg M, et al. A low-overhead asynchronous interconnection network for GALS chip multiprocessors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2011,30(4): 494-507.
    [3.12]Abd El-Ghany M, El-Moursy M, Korzec D, et al. Asynchronous BFT for low power networks on chip. Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), June 2010:3240-3243.
    [3.13]Fattah M, Manian A, Rahimi A, et al. A high throughput low power FIFO used for GALS NoC buffers. Proceedings of 2010 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2010:333-338.
    [3.14]Anh T, Dean N, Baas B. A reconfigurable source-synchronous on-chip network for GALS many-core platforms. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2010,29(6):897-910.
    [3.15]汪东,马剑武,陈书明.基于Gray码的异步FIFO接口技术及其应用.计算机工程与科学,2005,27(1):58-60.
    [3.16]刘祥远,陈书明.一种高性能的异步FIFO结构.电子学报,2007,35(11):2098-2104.
    [3.17]Apperson R, Yu Z, Meeuwsen M, et al. A scalable dual-clock FIFO for data transfers between arbitrary and haltable clock domains. IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2007,15(10):1125-134.
    [3.18]彭瑶,周端,杨银堂等.高速环形FIFO的设计.计算机辅助设计与图形学学报,2011,23(3):488-495.
    [3.19]Mehla U, Dasgupta K, Devashrayee N. Hamming distance based reordering and columnwise bit stuffing with difference vector:a better scheme for test data compression with run length based codes. Proceedings of the 201023rd International Conference on VLSI Design(VLSID'10), Bangalore, India, January, 2010:33-38.
    [3.20]Dally W, Towles B. Principles and practices of interconnection networks. Morgan Kaufman Publishers,2003.
    [3.21]Karim F, Nguyen A, Dey S. An interconnect architecture for networking systems on chips. IEEE Micro,2002,22(5):36-45.
    [4.1]Shao Baodong, Wang Lifeng, Li Jianyun. Multi-objective optimization design of a micro-channel heat sink using adaptive genetic algorithm. International Journal of Numerical Methods for Heat and Fluid Flow,2011,21(3):353-364.
    [4.2]Mourelle L, Ferreira RE, Nedjah N. Migration selection of strategies for parallel genetic algorithms:Implementation on networks on chips. International Journal of Electronics,2010,97(10):1227-1240.
    [4.3]韩丽霞,王宇平.图着色问题的新遗传算法.西安电子科技大学学报,2008,35(2):309-313.
    [4.4]Ge Fen, Wu Ning. Genetic algorithm based mapping and routing approach for Network on chip architectures. Chinese Journal of Electronics,2010,19(1): 91-96.
    [4.5]Sun Guang, Lin Shijun, Jin Depeng. Performance-aware hybrid algorithm for mapping IPS onto mesh-based network on chip. IEICE Transactions on Information and Systems,2011, E94-D(5):1000-1007.
    [4.6]陈勇,唐敏,童若峰等.基于遗传模拟退火算法的不规则多边形排样.计算机辅助设计与图形学学报,2003,15(5):598-603+609.
    [4.7]Shi H. An improved hybrid genetic algorithms using simulated annealing.2009 Second International Symposium on Electronic Commerce and Security. Nanchang:IEEE Computer Society,2009:462-465.
    [4.8]金希东,李治.遗传-灾变算法及其在非线性控制系统中的应用.系统仿真学报,1997,9(2):111-115.
    [4.9]姚俊峰,梅炽,彭小奇.混沌遗传算法(CGA)的应用研究及其优化效率评价.自动化学报,2002,28(6):935-942.
    [4.10]Wu Xuedong, Wang Yaonan, Zhu Zhiyu,et al.Adaptive chaos synchronization based on the iterated extended Kalman filtering trained radial basis function neural networks. Journal of Computational Information Systems,2011,7(5): 5428-5436.
    [4.11]高延峰,许瑛.混沌遗传模拟退火组合算法性能研究.计算机应用与软件,2008,25(11):238-267.
    [4.12]Hu J, Marculescu R. Energy-aware mapping for tile-based NoC architectures under performance constraints. Proceedings of Asia South Pacific Design Automation Conference. Kitakyushu:ACM,2003:233-239.
    [4.13]周明华.近代算法在工程领域中的应用研究.博士论文,杭州:浙江大学,2005.
    [4.14]Moein-Darbari F, Khademzade A, Gharooni-Fard G. CGMAP:a new approach to network-on-chip mapping problem. IEICE Electronics Express,2009,6(1): 27-34.
    [4.15]周明,孙树栋.遗传算法原理及应用.北京:国防工业出版社,2002.
    [4.16]李敏强,寇纪淞,林丹等.遗传算法的基本理论与应用.北京:科学出版社.2002.
    [4.17]周永华.实数编码遗传算法杂交算子组合研究.博士论文,广州:华南理工大学,2002.
    [4.18]廖美英,张勇军.灾变算子在遗传算法中的作用研究.计算机工程与应用,2005,41(13):54-56.
    [4.19]Dick R, Rhodes D, Wolf W. TGFF:task graphs for free. Proceedings of the 6th International Workshop on Hardware/Software Codesign. Seattle:IEEE Computer Society,1998:97-101.
    [4.20]Murali S, Micheli G D. Bandwidth-constrained mapping of cores onto NoC architectures. Proceeding of Design Automnation, and Test in Europe Conference,2004:896-901.
    [4.21]Lorenz E N. The essence of haos. Washington:The University of Washington Press,1993.
    [4.22]Khellat F, Ghaderi A, Vasegh N. Li-Yorke chaos and synchronous chaos in a globally nonlocal coupled map lattice. Chaos, Solitons and Fractals,2011, 44(11):934-939.
    [4.23]Taborda J, Angulo F, Olivar G.Characterization of chaos scenarios with periodic inclusions for one class of piecewise-smooth dynamical maps. International Journal of Bifurcation and Chaos,2011,21(9):2427-2466.
    [4.24]Sepahvand K, Marburg S, Hardtke H. Stochastic structural modal analysis involving uncertain parameters using generalized polynomial chaos expansion. International Journal of Applied Mechanics,2011,3(3):587-606.
    [4.25]韦鹏程.混沌伪随机序列及其应用研究.博士论文,重庆:重庆大学,2008.
    [5.1]Ogras U, Marculescu R, Choudhary P, et al. Voltage-frequency islands partitioning for GALS-based networks-on-chip. Proceedings of the 44th Annual Conference on Design Automation, San Diego,2007:110-115.
    [5.2]Sakurai T, Newton A. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE Journal of Solid-State Circuits, 1990,25(2):584-594.
    [5.3]Leung L, Tsui C. Energy-aware synthesis of networks-on-chip implemented with voltage islands. Proceedings of the 44th annual conference on Design automation, San Diego, California,2007:128-131.
    [5.4]Ye T, Benini L, Micheli G. Analysis of energy consumption on switch fabrics in network routers. Proceedings of the 39th Design Automation Conference, New Orleans, LA,2002:524-529.
    [5.5]Jang W, Pan D Z. A voltage-frequency island aware energy optimization framework for networks-on-chip. IEEE Journal on Emerging and Selected Topics in Circuits and Systems,2011,3(1):420-432.
    [5.6]Dumitras T, Marculescu R. On-chip stochastic communication.Proceedings of the Conference on Design, Automation and Test, Munich, Europe,2003: 790-795.
    [5.7]Kuei-Chung Chang. Reliable network-on-chip design for multi-core system-on-chip. Journal of Supercomputing,2011,55(1):86-102.
    [5.8]Ghosh P, Sen A. Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints. Proceedings of the ACM Symposium on Applied Computing, Sierre, Switzerland,2010:535-541.
    [5.9]Shin D, Kim W, Kwon S, et al.Communication-aware VFI partitioning for GALS-based networks-on-chip. Design Automation for Embedded Systems, 2011,15(2):89-109.
    [5.10]Shatz S, Wang J, Goto M. Task allocation for maximizing reliability of distributed computer systems. IEEE Transactions on Computers,1992,41(9): 1156-1168.
    [5.11]Chou C, Ogras U, Marculescu R. Energy-and performance-aware incremental mapping for networks on chip with multiple voltage levels. IEEE Transactions on CAD of Integrated Circuits and Systems,2008,27(10):1866-1879.
    [5.12]Kennedy J, Eberhart R. Particle swarm optimization. Proceedings of the 4th IEEE International Conference on Neural Networks.Perth, WA, Australia,1995: 1942-1948.
    [5.13]Niknam T, Farsani E,Nayeripour M, et al. Hybrid fuzzy adaptive particle swarm optimization and differential evolution algorithm for distribution feeder reconfiguration. Electric Power Components and Systems,2011,39(2): 158-175.
    [5.14]陆游,张永顺,杨云.基于混沌自适应变异粒子群优化的解相干算法.电子与信息学报,2009,31(8):1825-1829.
    [5.15]Sun J, Feng B, Xu W. Particle swarm optimization with particles having quantum behavior. Proceedings of 2004 Congress on Evolution Computation. Piscataway, NJ:IEEE Press,2004:325-331.
    [5.16]Huang Z, Wang Y, Yang C, et al. A new improved quantum-behaved Particle Swarm Optimization model. Proceedings of the 4th IEEE Conference on Industrial Electronics and Applications (ICIEA 2009), Xian, China,2009, 1560-1564.
    [5.17]Zhang Zhisheng. Quantum-behaved particle swarm optimization algorithm for economic load dispatch of power system. Expert Systems with Applications, 2010,37(2):1800-1803.
    [5.18]Marinakis Y, Marinaki M, Dounias G.A hybrid particle swarm optimization algorithm for the vehicle routing problem. Engineering Applications of Artificial Intelligence,2010,23(4):463-472.
    [5.19]Dick R, Rhodes D, Wolf W. TGFF:task graphs for free. Proceedings of the 6th International Workshop on Hardware/Software Codesign, Seattle:IEEE Computer Society,1998:97-101.
    [5.20]Moein-Darbari F, Khademzade A and Gharooni-Fard G. CGMAP:A new approach to network-on-chip mapping problem. IEICE Electronics Express, 2009,6(1):27-34.
    [5.21]Hu J, Marculescu R. Energy-aware mapping for tile-based NoC architectures under performance constraints. Proceedings of Asia South Pacific Design Automation Conference, Kitakyushu,2003:233-239.
    [5.22]Dick R P, Embedded system synthesis benchmarks suites. http://www.ece.northwestern.edu/-dickrp.2002.7

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700