300mm硅片化学机械抛光设备及其关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
化学机械抛光(Chemical Mechanical Polishing, CMP)技术是集成电路(IC)制造中,可以有效兼顾加工硅片表面局部与全局平坦度的一项重要技术。随着集成电路制造技术的飞速发展,为增加芯片产量并降低制造成本,硅片直径不断增大,特征线宽不断减小,对CMP技术及设备提出越来越高的要求。由于硅片直径增加,抛光头及抛光盘的驱动力成指数倍增长,对抛光机的动力系统提出了较高要求;硅片表面的压力分布不均问题更加突出,尤其是硅片边缘区域压力分布不均更加明显,这对硅片的加压系统及压力控制技术提出了更高要求。本文在深入研究CMP材料去除率(MRR)及硅片表面压力分布等理论问题的基础上,对CMP设备中的关键技术进行了研究,主要研究内容和结论如下:
     建立了基于单点速度向量积分方法的CMP材料去除率模型。分析了转速、摆幅、摆速等工艺参数对MRR及材料去除非均匀性(NUMR)的影响规律。理论分析结果表明抛光盘转速是影响MRR的主因,抛光头转速对MRR的影响很小;摆动幅度对MRR、NUMR也有较大影响,而摆动速度对MRR、NUMR几乎没有影响。
     利用建立的理论模型,分析了具有三种不同抛光头运动形式的化学机械抛光机床的MRR、NUMR。理论分析及实验结果表明直线轨道式抛光机与弧形轨道式抛光机的MRR、NUMR相差不大;两种轨道式抛光机比定偏心旋转式抛光机具有更高的MRR,更低的NUMR。对三种运动形式的抛光机进行结构方案对比,综合考虑理论分析结果、各自的结构特点,确定了直线轨道式CMP抛光单元设计方案。
     采用有限元模拟方法,分析了300mm硅片CMP过程中保持环对硅片表面压力分布的影响,发现保持环对硅片边缘的压力分布有很大影响;保持环压力与硅片压力存在一定的匹配关系,合理调整和控制保持环压力,可以大大改善硅片边缘的压力分布。
     针对硅片表面压力分布均匀性对抛光头加压系统的要求,采用对硅片及保持环分别进行加压和调整的方法设计了CMP加压系统。分别采用气腔及高精度比例调压阀对硅片进行加压及压力调整;提出了一种双弹簧组合弹性元件加压方式用于对保持环进行加压及压力调整,并对弹性元件的加压精度进行了理论分析及实验验证。
     进行了直线轨道式CMP单元的结构设计,对其主要零部件进行结构分析、动力学分析及结构改进和优化。在此基础上,提出了一种由三个CMP单元集成的多工位、柔性化、全自动CMP设备的设计方案,开发了基于PC的CMP设备监控系统及软件。
Chemical mechanical polishing (CMP) is the important technology, to satisfy the local and golobal planarization, in the process of the intergrated circuit (IC) manufacturing. With the development of the IC processing technology, wafer size is increasing, the device feature size is scaling down for the need of the high chip yield and the low manufacturing cost, and the requirement for the CMP technology and equipment becomes higher and higher. The drive system of the large-scale wafer polisher requires high performance because the drive power rises to the second power of the proportion of the wafer scale. The nonunifomity of the pressure distribution on the wafer surface, especially on the edge, make higher demands on the pressure system and the control technology. On the basis of the deep research on the material removal rate (MRR) and the pressure distribution of wafer, the key technology of the CMP equipment is studied, the main contents and conclusions are as follows:
     The model of the MRR of a point on the wafer is established by velocity vector integral. The effects of the process parameters, such as the rotation speed, reciprocation range and the reciprocation speed, on MRR and the nonuniformity of the material removal (NUMR) are analyzed. The results of the theoretical analysis show that the speed of the polishing plate is the major factor to affect the MRR, but the speed of the carrier has little effect on it. The reciprocation range has some influence on MRR and NUMR, but the reciprocation speed seldom impacts MRR and NUMR.
     Based on the model, the effects of the three kinematic forms on MRR and NUMR are analyzed. The results of the theoretical analysis and the experiment show that the reciprocation polisher and the oscillation polisher have little difference on MRR NUMR, but the both have higher MRR and less NUMR than the eccentric polisher.Comparing the structure design of three types of polisher, the scheme of the reciprocating polisher is determinated taking into account the structure and the theory results.
     The effects of the retaining ring on the pressure distribution on 300mm wafer surface are analyzed by finite element model. The results show that the retaining ring has great influence on the pressure distribution on the wafer edge, and there exists the matching relationship between the pressure on the ring and that on the wafer. Reasonable adjustment of the ring pressure will improve the pressure distribution on the wafer edge.
     Aiming at the requirement of the pressure distribution uniformity on the wafer surface for the polishing-head press system, the press system is designed in manner of the separate pressure regulation on the retaining ring and the wafer. The downforce on the wafer is applied by the air chamber and regulated by the high-accuracy proportional dump valve. A manner to apply the downforce by compound springs is brought forward to press and regulate the pressure on the retaining ring, and the accuracy of the device is analyzed in theory and by experiment.
     The structure design of the reciprocating polisher is completed, and the static and dynamic analysis of the important parts is carried out in order to perfect the design. And then scheme of the multi-station, flexibility and automatic polishing system has been put forward, also the control system of the equipment is completed on Personal Computer (PC).
引文
[1]张厥宗.硅单晶抛光片的加工技术[M].北京:化学工业出版社,2005.
    [2]王红.集成电路技术发展动态[J].微电子学,2007,37(4):515-522,542.
    [3]徐世东.模拟集成电路发展概况[J].微电子学,2004,34(4):349-355,365.
    [4]柯之江.硅集成电路技术及发展趋势[J].电子与封装,2004,4(4):5-9.
    [5]罗浩平.硅超大规模集成电路技术和发展[J].电子与封装,2003,3(5):48-57.
    [6]徐世六.军用微电子技术发展战略思考[J].微电子学,2004,34(1):1-6.
    [7]鹏已本.半导体技术发展的现状、特点与机遇[J].半导体技术,2003,28(3):7-11,16.
    [8]王树永,李秀琼,刘杰雄等.集成电路:基础知识、产业发展与法律保护[M].香港:中外法律出版社,2006.
    [9]Michael Q, Serda J,韩郑生等译.半导体制造技术[M].北京:电子工业出版社,2004.
    [10]张亚非等.半导体集成电路制造技术[M].北京:高等教育出版社,2006.
    [11]Plummer J D, Deal M D, Griffin P B.著.王玉东,熊小义等译.硅超大规模集成电路工艺技术—理论、实践与模型[M].北京:电子工业出版社,2005.
    [12]Zant P V,赵树武,朱践知,于世恩等译.芯片制造——半导体工艺制程实用教程[M].北京:电子工业出版社,2004.
    [13]Overall Roadmap Technology Characteristics, ITRS2002 (International Technology Roadmap of Semiconductor2002) [EB]. http://public.itrs.net/reports.html.
    [14]王阳元.微电子技术与现代军事[J].现代军事(特稿),2001.8.
    [15]Quirk M, Serda J. Semiconductor manufacturing technology [M]. Beijing:Publishing House of Electronics Industry,2006.
    [16]Geng H著.赵树武,陈松,赵水林等译.半导体集成电路制造手册[M].北京:电子工业出版社,2006.
    [17]张楷亮,宋志棠,封松林.ULSI关键工艺技术——纳米级化学机械抛光[J].纳米电子技术,2005(7):336-339.
    [18]益民.0.4~0.25μm时代的平坦化技术[J].电子与封装,2002,2(5):55-60.
    [19]张楷亮,刘玉玲,王芳等.ULSI硅衬底的化学机械抛光[J].半导体学报,2004,25(1):115-118.
    [20]闫志瑞,鲁进军,李耀东等.300mm硅片化学机械抛光技术分析[J].半导体技术,2006,31(8):561-564.
    [21]Bacchetta M, Zaccherini C, Zanotti L. Inter-metal dielectric planarization process for 0.35μm multilevel interconnection devices [J]. Applied Surface Science,1995,91:367-373.
    [22]Ryu C K, Huang J. Advanced planarized passivation for sub-micron technology [J]. Microelectronic Engineering,1999,45:393-399.
    [23]Yu A B, Liu A Q, Zhang Q X, et al. Improvement of isolation for MEMS capacitive switch via membrane planarization [J]. Sensors and Actuators A,2005,119:206-213.
    [24]Penaud J, Fruleux F, Dubois E. Transformation of hydrogen silsesquioxane properties with RIE plasma treatment for advanced multiple-gate MOSFETs [J]. Applied Surface Science,2006,253:395-399.
    [25]Isogai H, Toyoda E, Senda T, et al. Study of Si wafer surfaces irradiated by gas cluster ion beams [J]. Nuclear Instruments and Methods in Physics Research B,2007,257:683-686.
    [26]Chen H, Liu S W, Wang X M, et al. Smoothing of ZnO films by gas cluster ion beam [J]. Nuclear Instruments and Methods in Physics Research B,2005,241:630-635.
    [27]Mirkarimi P B, Spiller E, Baker S, L, etal. Advancing the ion beam thin film planarization process for the smoothing of substrate particles [J]. Microelectronic Engineering,2005,77:369-381.
    [28]Surganov V, Mozalev A, Boksha V. Planarized aluminum submicron structure formation for interconnections of ULSI by laser lithography and electrochemical anodizing [J]. Microelectronic Engineering,1997,37-38:335-340.
    [29]Tsutsui K, Nakata M, Morita M, et al. Novel fabrication technologies of planar nano-gap electrodes for single molecule evaluation [J]. Current Applied Physics,2007,7:329-333.
    [30]Cheng M D, Luoh T, Su C T, et al. Inter-metal inorganic spin-on-glass dielectric layer in 100nm generation technology [J]. Thin Solid Film,2008,516:8726-8730.
    [31]尹睿,刘玉岭,李薇薇等.ULSI中低k介质的化学机械全局平坦化分析研究[J].微纳电子技术,2006,3:154-158.
    [32]郭东明,康仁科,苏建修等.超大规模集成电路制造中硅片平坦化技术的未来发展[J].机械工程学报,2003,39(10):100-105.
    [33]闻永祥.超大规模集成电路的平坦化技术[J].电子工程师,2000,7:43-44.
    [34]李红征,陈海峰,郭晶磊.SOG拒不平坦化技术研究[J].电子与封装,2000,7:17-20.
    [35]李庆忠,于秀坤,苏建修.IC制造中平坦化技术的性能与分析[J].沈阳航空工业学院学报,2006,23(1):27-31.
    [36]黄志龙(台湾清华大学).浅谈化学机械抛光的演进与应用[J].磨粒会讯,19:14-32.
    [37]Chen P H, Huang B W, Shih H C. A chemical kinetics model to explain the abrasive size effect on chemical mechanical polishing [J]. Thin Solid Films,2005,476:130-136.
    [38]侯丽辉,刘玉玲,王胜利等.抛光液组成对LiNbO3CMP去除速率的影响[J].半导体技术,2008,33(8):666-669.
    [39]Lee W J, Ko C H. Effect of slurry pH on the defects induced during the plug isolation chemical mechanical polishing [J]. Thin Solid Films,2005,489:145-149.
    [40]韩敬华,冯国英,杨李茗等.抛光液的pH值对抛光元件表面粗糙度的影响[J].光学技术,2006,4(32):562-564.
    [41]唐文栋,刘玉玲,宁培桓等.碱性抛光液对硬盘基板抛光中表面状况的影响[J].微纳电子技术,2008,45(10):611-614,618.
    [42]Franssila S著.陈迪等译.微加工导论[M].北京:电子工业出版社,2006.
    [43]苏建修,康仁科,郭东明.超大规模集成电路制造中硅片化学机械抛光技术分析[J].半导体制造技术,2003,28(10):27-32.
    [44]吴雪花.抛光垫特性及其对化学机械抛光效果影响的研究[D],大连:大连理工大学2005.
    [45]张朝辉,杜永平,常秋英等.化学机械抛光中抛光垫作用分析[J].北京交通大学学报,2007,31(1):18-21.
    [46]Jeong U, Lee S, Jeong H. Effect of polishing pad with holes in electro-chemical mechanical planarization[J]. Microelectronic Engineering,2008,85:2236-2242.
    [47]魏昕,熊伟,黄蕊慰等.化学机械抛光中抛光垫的研究[J].金刚石磨料磨具工程,2004,143(5)40-43.
    [48]Park K, Park J, Park B, et al. Correlation between break-in characteristics and pad surface conditions in silicon wafer polishing[J]. Journal of Material Processing Technology,2008,205:360-365.
    [49]周海,王黛萍,王兵等.化学机械抛光工艺中的抛光垫[J].机械工程与自动化,2008,6:73-75.
    [50]苏建修.IC制造中硅片化学机械抛光材料去除机理研究[D],大连:大连理工大学2006.
    [51]罗余庆,康仁科,郭东明等.大直径晶片化学机械抛光技术及其终点检测技术的研究与应用[J],半导体制造技术,2004,29(6):24-29,37.
    [52]Steigerwald J M, Murarka S P, Ronald J. Gutmann. Chemical Mechanical Planarization of Microelectronic Materials [M]. German:Wiley-VCH,2004.
    [53]International Technology Roadmap for Semiconductors (ITRS2000 Update) [EB], http://public.itrs.net/reports.html.
    [54]International Technology Roadmap for Semiconductors (ITRS2003 Edition) [EB], http://public.itrs.net/reports.html.
    [55]Zhao B. IC Interconnect Technology-Challenges and Opportunities [C]. Solid-State and Integrated-Circuit Technology (2001) Proceedings,6th International Conference. Shanghai,2001: 337-342.
    [56]童志义.化学机械抛光技术现状与发展趋势[J].电子工业专用设备,2004,113:1-6.
    [57]翁寿松.铜互连及其相关工艺[J].微纳电子技术,2004,3:14-16、36.
    [58]葛劢冲.CMP系统技术与市场[J].电子工业专用设备,2003,102:17-24.
    [59]刘玉玲,李嘉席,檀柏梅等.ULSI制备中多层布线导体铜的抛光液与抛光技术的研究[J].半导体情报,2000,37(5):41-45,61.
    [60]王阳元,康晋锋.超深亚微米集成电路中的互连问题——低k介质与Cu的互连集成技术[J].半导体学报,2002,23(11):1121-1133.
    [61]赵超荣,杜寰,刘梦新等.Cu互连及其关键工艺技术研究现状[J].半导体技术,2008,33(5)374-376.
    [62]Pandija S, Roy D, Babu S V. Achievement of high planarization efficiency in CMP of copper at a reduced down pressure[J]. Microelectronic Engineering,2009,86:367-373.
    [63]Fayolle M, Romagna F. Copper CMP evaluation:planarization issues [J]. Microelectronic Engineering,1997,37-38:135-141.
    [64]Wang Y L, Liu C, Chang S T, et al. Chemical-mechanical polishing of low-dielectric constant spin-on-glasses:film chemistries, slurry formulation and polishing selectivity [J]. Thin Solid Film, 1997,308-309:550-554.
    [65]翁寿松.65nm工艺及其设备[J].电子工业专用设备,2006,113:18-20,48.
    [66]翁寿松.300mm晶圆芯片制造技术的发展趋势[J].半导体技术,2004,29(1):27-29,55.
    [67]童志义.CMP设备市场与技术现状[J].电子工业专用设备,2000,29(4):11-18.
    [68]童志义.65nm技术节点的CMP技术[J].电子工业专用设备,2006,141:8-13.
    [69]翁寿松.CMP/Post CMP工艺及其设备[J].电子工业专用设备,2003,105:9-12,55.
    [70]翁寿松.CMP的最新动态[J].电子工业专用设备,2005,120:7-9.
    [71]本刊编辑部.化学机械抛光设备与市场[J].电子工业专用设备,2004,113:10-12,25.
    [72]Jin R R.新一代CMP设备及其对IC器件的影响[J].万力编译.电子工业专用设备,2003,103:50-52.
    [73]Wielonski R F, Peterman JR L M. CMP diamond conditioning disk:United States, US2007/0066194 A1 [P].2007,3.
    [74]Fujita T. Pad conditioner, pad conditioning method and polishing apparatus:United States, US2007/0077870 Al [P].2007,4.
    [75]Butterfield P D., Ko S H. Apparatus for conditioning processing padsJ:United States, US7182680 B2 [P].2007,2.
    [76]Kamimura K, Kimura N, Okamura S, et al. Polishing apparatus:United States, US7207864 B2 [P]. 2007,4.
    [77]Shirasu T, Aoyama K, Akaboshi F, et al. Polishing machine, work piece supporting table pad, polishing method and manufacturing method of semiconductor device:United States, US2007/0060024 Al [P]. 2007,3.
    [78]Taylor T M, Birch L J, Dunn F L. Apparatus and method of controlling the temperature of polishing pads used in planarizing micro-device workpieces:United States, US2007/0054599 A1 [P].2007,3.
    [79]Emesh I. Planar plating apparatus:United States, US7201828 B2 [P].2007,4.
    [80]Chandrasekaran N. Retaining rings, and associated planarizing apparatuses, and related methods for planarizing micro-device workpieces:United States, US2007/0049179 A1 [P].2007,3.
    [81]Yamaguchi K, Torikoshi T. Polishing method and polishing apparatus:United States, US2007/0049166 A1 [P].2007,3.
    [82]David E. Berkstresser, Jerry J. Berkstresser, Jino Prk et al. Polishing head for polishing semiconductor wafers:United States, US2007/0207709 A1 [P].2007,9.
    [83]Doi T, Philipossian A, Nardis D D. Polishing apparatus and methods of polishing work piece. United States, US7195546 B2 [P].2007,3.
    [84]Llera A D L, Pham X, Siu A, et al. Method and apparatus for applying downward force on wafer during CMP:United States, US6712670 B2 [P].2004,3.
    [85]Naujok M, Kaltalioglu E. Polishing methods and apparatus:United States, US7201634 B2 [P].2007, 4.
    [86]Stumpf J F, Root F D, Severson B, et al. CMP apparatus and method:United States, US2006/0003671 A1 [P].2006,1.
    [87]Izumi S. Semiconductor wafer surface flattening apparatus. United States,6062954 [P].2000,3.
    [88]Yang C C, Peng P Y, Liu C Y, et al. Chemical mechanical polishing device including a polishing pad and cleaning method thereof and method for planarization:United States, US2007/0066187 A1 [P]. 2007,3.
    [89]Rodney C. Kistler, Aleksander Owezarz. Chemical mechanical planarization (CMP) apparatus:United States, US6769961 B1 [P].2004,8.
    [90]Chen H C. Polishing apparatus and method with direct load platen:United States, US7198548 B1 [P]. 2007,4.
    [91]Velden P V. Chemical Mechanical Polishing With Fixed Abrasives Using Different Sub-pads to Optimize Wafer Uniformity [J]. Microelectronic Engineering,2000,50:41-46.
    [92]Nguyen V H, Hof A J, Kranenburg H V, et al. Copper chemical mechanical polishing using a slurry-free technique [J]. Microelectronic Engineering,2001,55:305-312.
    [93]Hocheng H, Tsai H Y, Tsai M S. Effects of kinematic variables on nonuniformity in chemical mechanical planarixation [J]. International Journal of Machine Tools & Manufacture,2000,40(11): 1651-1669.
    [94]Tso P L, Wang Y Y, Tsai M J. A study of carrier motion on a dual-face CMP machine [J]. Journal of Materials Processing Technology,2001,116:194-200.
    [95]苏建修,郭东明,康仁科等.ULSI制造中硅片化学机械抛光的运动机理[J].半导体学报,2005,26(3):606-612.
    [96]张红霞,高宏刚,吴明根.定偏心锡磨盘超精密平面抛光均匀去除模拟计算(Ⅰ)[J].光学精密工程,1998,6(2):77-81.
    [97]苏建修,郭东明,康仁科等.硅片化学机械抛光时运动形式对片内非均匀性的影响分析[J].中国机械工程2005,16(9):815-818.
    [98]Fu G H, Chandra A. The relationship between wafer surface pressure and wafer backside loading in chemical mechanical polishing [J]. Thin Solid Films,2005,474:217-221.
    [99]Srinivasa-Murthy C, Wang D, Beaudoin S P, et al. Stress distribution in chemical mechanical polishing [J]. Thin Solid Films,1997,308-309:533-537.
    [100]Seok J, Sukam C P, Kim A T, et al. Multiscale material removal modeling of chemical mechanical polishing [J]. Wear,2003,254:307-320.
    [101]Saka N, Lai J Y, Hoon J, et al. Mechanisms of the chemical mechanical polishing(CMP) process in integrated circuit fabrication [C]. CIRP Annals,2001,50(1):232-237.
    [102]Lee H, Park B, Jeong H. Mechanical effect of process condition and abrasive concentration on material removal rate profile in copper chemical mechanical planarization [J]. Journal of Material Processing Technology,2009,209:1729-1735.
    [103]Tseng W T, Liu C W, Dai B T, et al. Effects of mechanical characteristics on the chemical-mechanical polishing of dielectric thin film [J].Thin Solid Films,1996,290-291:458-463.
    [104]Wang C C, Lin S C, Hochen H. A material removal model for polishing glass-ceramic and aluminum magnesium storage disks [J]. International Journal of Machine Tools & Manufacture,2002,42: 979-984.
    [105]吴宗泽主编.械设计师手册(上)[M].北京:机械工业出版社,2002年.
    [106]Fu G, Chandra A. The relationship between wafer surface pressure and wafer backside loading in chemical mechanical polishing [J]. Thin Solid Films,2005,474:217-221.
    [107]Wang D, Lee J, Holland K, et al. Von Mises stress in chemical-mechanical polishing process [J]. Journal of the Electro-chemical Society,1997,144:1121-1127.
    [108]Wang D, Zutshi A, Bibby T, et al. Effects of carrier film physical properties on W CMP [J]. Thin Solid Films,1999,345:278-283.
    [109]Lin Y Y, Lo S P. A study of a finite element model for the chemical mechanical polishing process [J]. International Journal of Advanced Manufacturing Technology,2004,23:644-65.
    [110]Lin Y Y, Lo S P. Finite element modeling for chemical mechanical polishing process under different back pressures [J]. Journal of Material Processing Technology,2003,140:646-652.
    [111]Lo S P, Lin Y Y, Huang J C. Analysis of retaining ring using finite element simulation in chemical mechanical polishing process [J]. International Journal of Advanced Manufacturing Technology, 2007,34:547-555.
    [112]孙禹辉,康仁科,郭东明等.CMP加工中的真空吸盘区域压力控制技术[J].电子工业设备,2004,114:34-39.
    [113]孙禹辉,康仁科,郭东明等.化学机械抛光中的硅片夹持技术[J].半导体技术,2004,29(4)10-14.
    [114]Togawa T. Pressure control system and polishing apparatus:United States, US7048609 B2 [P].2006, 5.
    [115]Nagahara R J, Lee D M. Apparatus for polishing a substrate at radially varying polish rates:United States,5816900 [P].1998,10.
    [116]土肥俊郎著.王建荣、林必窕、林庆福编译.半导体平坦化CMP技术[M].台湾:全华科技图书股份有限公司,1998.
    [117]Hardikar V V. Methods and compositions for chemical mechanical planarization of ruthenium: United States, US6869336 B1 [P].2005,3.
    [118]吴宗泽.机械设计师手册(下)[M].北京:机械工业出版社,2002.
    [119]Cho C H, Park S S, Ahc Y. Three-dimensional wafer scale hydrodynamic modeling for chemical mechanical polishing [J]. Thin Solid Film,2001,389:254-260.
    [120]Cho C H, Park S, Ahc Y. Hydrodynamic analysis of chemical mechanical polishing process [J]. Tribology International,2000,33:723-730.
    [121]Guo D M, Liu J Y, Kang R K,et al, A pad roughness model for the analysis of lubrication in the chemical mechanical polishing of a silicon wafer [J], Semiconductor Science and Technology,2007, 22:793-797.
    [122]张朝辉,雒建斌.化学机械抛光中抛光液流动的微极性分析[J].北京交通大学学报,2005,29(1):74-77.
    [123]Drobyshevski Y. Hydrodynamic coefficients of a floating, truncated vertical cylinder in shallow water [J]. Ocean Engineering,2004,31:269-304.
    [124]Dambaru D. Bhatta. Surge Motion on a floating cylinder in water of finite depth [J]. IJMMS,2003, 57:3643-3656.
    [125]杨翊仁,张继业.不可压缩粘性流中板状梁的振动附加质量及阻尼[J].核动力工程,1998,19(5):443-449.
    [126]张朝辉,雒建斌,温诗铸.薄膜润滑中的微极流体效应[J].力学学报,2004,36(2):208-212.
    [127]王卫东,贾建援.滑流边界条件下MEMS微圆盘的气体压膜阻尼分析[J].机械设计与研究,2005,21(6):53-55.
    [128]廖伯瑜,周新民,尹志宏.现代机械动力学及其工程应用:建模、分析、仿真、修改、控制、优化[M].北京:机械工业出版社,2003.
    [129]陈立平,张云清,任卫群等.机械系统动力学分析及ADAMS应用教程[M].北京:清华大学出版社,2005.
    [130]邵忍平.机械系统动力学[M].北京:机械工业出版社,2005.
    [131]梁立孚.变分原理及其应用[M].哈尔滨:哈尔滨工程大学出版社,2005.
    [132]王生楠.有限元素法中的变分原理基础[M].西安:西北工业大学出版社,2005.
    [133]胡海昌.弹性力学的变分原理及其应用[M].北京:科学出版社,1981.
    [134]杜平安, 甘娥忠,于亚婷.有限元法:原理、建模及应用[M].北京:国防工业出版社,2004.
    [135]李广民, 刘三阳.应用泛函分析原理[M].西安:西安电子科技大学出版社,2003.
    [136]张兴朝.基于有限元分析的模块化数控机床结构动态设计研究[D].天津:天津大学2001.
    [137]姜连会.非均质材料零件沉积成型技术研究[D].大连:大连理工大学2006.
    [138]邱容.开放式数控系统的研究开发[D].成都:西华大学2006.
    [139]姜培青.三工位CMP控制系统的设计与开发[D].大连:大连理工大学2008.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700