衍射度量术在光栅形貌测量与小阶梯光栅制作中的应用
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
衍射度量术是一类通过对周期性结构远场衍射光特性的分析来精确测量周期性结构形貌参数的方法。这类方法具有非接触、无损伤、快速响应等优点,适用于各种在线、离线的测量环境。本论文围绕着衍射度量术这一核心方法,对不同类型的光刻胶掩模光栅、小阶梯光栅,设计了4种在线监测与离线检测光栅形貌参数的方法。并在其中一些方法的辅助下,成功地制作出了局部衍射效率和杂散光性能达到商用光栅水平的小阶梯闪耀光栅母光栅。
     针对铬膜上的驻波形光刻胶光栅,本论文使用多波长衍射度量术和人工神经网络技术相结合的方法对其形貌参数进行了测量,并提出了通过选择光束入射条件来优化方法的思路。实验结果表明,这一方法对1480线/mm驻波形光栅栅脊高度和占宽比的测量误差分别为18nm和1.3%。
     针对透明基底上的矩形光刻胶掩模光栅,本论文提出了利用其显影监测曲线的极值和0、-1级透射衍射级次同时监测的光栅参数显影实时监测方法,并通过实验验证了该方法的可行性。实验结果表明,这一方法对1200线/mm矩形光刻胶掩模光栅栅脊高度和占宽比的控制精度分别达到了22nm和1.7%。同时,本论文还设计了一种离线测量透明基底上矩形光刻胶掩模光栅形貌参数的方法,并通过实验验证了此方法的精度,使用这种方法可以在显影后对掩模光栅的形貌参数进行再次确认。
     针对使用矩形光刻胶掩模和离子束掠入射刻蚀小阶梯介质光栅的刻蚀工艺,本论文提出了一种在线监测刻蚀终点的方法,并通过实验验证了该方法的可行性,其成功率可达60%以上。
     在光栅制作工艺方面,本论文发展了全息法制作小阶梯光栅中离子束刻蚀工艺的过程模型,提出并说明了对掩模形貌和刻蚀时间进行精确控制的必要性。在本论文中,我们对小阶梯光栅的各步制作工艺进行了较为深入的探索,通过大量的工艺实验,确定了有效的基片清洗步骤、匀胶工艺流程、匀胶后烘参数、掩模硬烘参数等关键的工艺细节。
Scatterometry, which is based on the measurement of far-field diffraction responses of periodic structures, is a sort of methods for accurate grating topography extraction. The non-contact, nondestructive and rapid-response features of scatterometry make it preferred in all kinds of online and offline applications. By use of scatterometry, we designed four kinds of online and offline grating profile measurement methods for different types of photoresist gratings and echelette gratings in this dissertation work. With some of the above measurement methods, we fabricated some echelette gratings whose local area diffraction efficiency and stray light level meet the commercial grating standards.
     For photoresist gratings with wavy sidewall profiles on a chrome film, we employed a profile measurement method, which is based on the combination of multi-wavelength scatterometry and artificial neural network, to determine their critical dimensions. From the experimental results of 1480 lines/mm gratings, we verified the precisions of this method for grating ridge height and duty cycle are about 18nm and 1.3%, respectively.
     For rectangular photoresist gratings on transparent substrates, we presented an in situ monitoring method during the development process. By use of the extreme values of monitoring curves of both the 0th and the -1st transmission orders, we determined the two profile parameters at the same time. From the experimental results, we verified the validity of this in situ monitoring method. For 1200 lines/mm gratings, the measurement precisions of this method for height of grating ridges and duty cycle of gratings are about 22nm and 1.7%, respectively. Meanwhile, in this dissertation we designed another offline measurement method to ensure the grating profile parameters got from the in situ monitoring method was correct, and tested the accuracy of this method.
     For ion-beam etching process of echelette gratings by use of rectangular-profile photoresist masks and grazing ion-beam incidence, we designed an online endpoint detection method. By experimental verifications, the success rate of this method is above 60%.
     The ion-beam etching process model of echelette grating fabrication is improved by accurate control of photoresist grating profiles and etching time. From a large number of experiments, we determined the technical details of echelette grating fabrication, such as the way of grating substrate cleaning, the processing steps of photoresist spinning, and optimized parameters of postbake and hardbake.
引文
[1]Gary S M, Costas J S.半导体制造与过程控制基础.李虹,肖春虹,马俊婷,译.北京:机械工业出版社, 2009: 30–42.
    [2]Kleinknecht H P, Meier H. Linewidth measurement on IC masks and wafers by grating test patterns. Appl Opt, 1980, 19: 525–533.
    [3]Neviere M, Popov E. Light Propagation in Periodic Media: differential theory and design. New York: Marcel Dekker, 2003: 12–15.
    [4]Raymond C J, Murnane M R, Naqvi S S H, et al. Metrology of subwavelength photoresist gratings using optical scatterometry. J Vac Sci Technol B, 1995, 13: 1484-1495.
    [5]Bishop K P, Gaspar S M, Milner L M, et al. Grating line shape characterization using scatterometry. Proc SPIE, 1991, 1545: 64–73.
    [6]Krukar R H, Prins S L, Krukar D M, et al. Using scattered light modeling for semiconductor critical dimension metrology and calibration. Proc SPIE, 1993,1926: 60–71.
    [7]Minhas B K, Coulombe S A, Naqvi S S H, et al. Ellipsometric scatterometry for the metrology of sub-0.1-mm-linewidth structures. Appl Opt, 1998, 37:5112–5115.
    [8]Huang H, Terry F L. Spectroscopic ellipsometry and reflectometry from gratings (Scatterometry) for critical dimension measurement and in situ, real-time process monitoring. Thin Solid Films, 2004, 455: 828–836.
    [9]Gross H, Model R, Bar M, et al. Mathematical modelling of indirect measurements in scatterometry. Measurement, 2006, 39: 782–794.
    [10] Intel Corporation. Intel Developer Forum 22nm News Facts [EB/OL]. [2010-01-08]. http://download.intel.com/pressroom/kits/events/idffall_2009/pdfs/22nm_factsheet.pdf.
    [11] Baek I B, Yang J H, Cho W J, et al. Electron beam lithography patterning of sub-10 nm line using hydrogen silsesquioxane for nanoscale device applications. J Vac Sci Technol B, 2005, 23:3120–3123.
    [12] Foldyna M, Martino A D, Garcia-Caurel E, et al. Monitoring critical dimensions of bidimensional gratings by spectroscopic ellipsometry and Mueller polarimetry. Phys Stat Sol (a), 2008, 205: 806–809.
    [13] Treacy E B. Optical pulse compression with diffraction gratings. IEEE J Quantum Electron, 1969, QE-5:454–458.
    [14] Strickland D, Mourou G. Compression of amplified chirped optical pulses. Opt Commun, 1985, 56:219–221.
    [15]郭超.衍射光栅周期差别及周期梯度的测量方法[博士学位论文].北京:清华大学,2010.
    [16] Lawrence Livermore National Laboratory. Multilayer dielectric gratings: increasing the power of light [EB/OL]. [2010-01-09]. https://www.llnl.gov/str/pdfs/09_95.2.pdf.
    [17] Shore B W, Perry M D, Britten J A, et al. Design of high-efficiency dielectric reflection gratings. J Opt Soc Am A, 1997, 14: 1124–1136.
    [18]孟祥峰.共振型光栅的制作工艺及其离子束刻蚀终点的在线检测[博士学位论文] .北京:清华大学, 2009.
    [19] Chen C G, Konkola P T, Heilmann R F, et al. Nanometer-accurate Grating Fabrication with Scanning Beam Interference Lithography. SPIE Proc, 2002, 4936: 126–134.
    [20]李昌厚.紫外可见分光光度计.北京:化学工业出版社, 2005.
    [21]李立峰.光栅的电磁场理论.清华大学精密仪器与机械学系精密测试技术及仪器国家重点实验室光栅理论短期班讲义, 2003.8: 4. (内部讲义)
    [22] Hutley M C. Diffraction gratings. London: Academic Press, 1982: 125–127.
    [23] Aoyagi Y, Namba S. Blazed ion-etched holographic gratings. Opt Acta, 1976, 23: 701–707.
    [24] Bal G. Inverse transport theory and applications. Inv Probl, 2009, 25: 053001.
    [25] Dorn O, Lesselier D. Level set methods for inverse scattering. Inv Probl, 2006, 22: R67–R131.
    [26] Roger A, Maystre D. Inverse scattering method in electromagnetic optics: Application to diffraction gratings. J Opt Soc Am, 1980, 70: 1483–1495.
    [27] Elschner J, Hsiao G C, Rathsfeld A. Grating profile reconstruction based on finite elements and optimization techniques. SIAM J Appl Math. 2003, 64: 525–545.
    [28] Kirsch A. Uniqueness theorems in inverse scattering theory for periodic structures. Inv Probl, 1994, 10: 145–152.
    [29] Bao G. A uniqueness theorem for an inverse problem in periodic diffractive optics. Inv Probl, 1994, 10: 335–340.
    [30] Charalambopoulos A, Gintides D, Kiriaki K. On the uniqueness of the inverse elastic scattering problem for periodic structures. Inv Probl, 2001, 17: 1923–1935.
    [31] Bao G. Inverse and optimal design problems in diffractive optics // Hon Y C, Yamamoto M, Cheng J, et al. Recent development in theories & numerics: 1st International Conference on Inverse Problems. Hong Kong: World Scientific, 2003: 37–46.
    [32] Bao G, Zhou Z. Inverse diffraction by a doubly periodic structure. Comptes Rendus De L Academie Des Sciences Serie I-Mathematique, 1997, 324: 627–632.
    [33] Van de Aa N P, Mattheij R M M. Computing shape parameter sensitivity of the field of one-dimensional surface-relief gratings by using an analytical approach based on RCWA. J Opt Soc Am A, 2007, 24: 2692–2700.
    [34] Li L. Use of Fourier series in the analysis of discontinuous periodic structures. J Opt Soc Am A, 1996, 13:1870–1876.
    [35] Gross H, Rathsfled A. Sensitivity analysis for indirect measurement in scatterometry and the reconstruction of periodic grating structures. Waves in Random and Complex Media, 2008, 18: 129–149.
    [36] Niu X, Jakatdar N, Bao J, et al. Specular Spectroscopic Scatterometry. IEEE Trans Semicond Manuf, 2001, 14: 97–110.
    [37] Haykin S. Neural Networks—A Comprehensive Foundation, 2nd ed. New Jersey: Prentice-Hall, 1999.
    [38] May G. Manufacturing ICs the neural way. IEEE Spectrum, 1994, 31: 47–51.
    [39] Krukar R H, Naqvi S S H, McNeil J R, et al. Analyzing simulated and measured optical scatter for semiconductor process verification. Proc SPIE, 1993, 1907: 238–249.
    [40] Kallioniemi I, Saarinen J, Oja E. Optical scatterometry of subwavelength diffraction gratings: neural-network approach. Appl Opt, 1998, 37: 5830–5835.
    [41] Kallioniemi I, Saarinen J, Oja E. Characterization of diffraction gratings in a rigorous domain with optical scatterometry: hierarchical neural-network model. Appl Opt, 1999, 38: 5920–5930.
    [42] Robert S, Ravaud A M, Lacour D. Characterization of optical diffraction gratings by use of a neural method. J Opt Soc Am A, 2002, 19: 24–32.
    [43] Robert S, Ravaud A M, Reynaud S, et al. Experimental characterization of subwavelength diffraction gratings by an inverse-scattering neural method. J Opt Soc Am A, 2002, 19: 2394–2402.
    [44] Robert S, Ravaud A M, Thiria S, et al. Neural selection of the optimal optical signature for a rapid characterization of a submicrometer period grating. Opt Commun, 2004, 238: 215–228.
    [45] Gereige I, Robert S, Granet G, et al. Rapid control of submicrometer periodic structures by a neural inversion from ellipsometric measurement. Opt Commun, 2007, 278: 270–273.
    [46] Wei S, Li L. Measurement of photoresist grating profiles based on multiwavelength scatterometry and artificial neural network. Appl Opt, 2008, 47: 2524–2532.
    [47] Bao G. Finite element approximation of time harmonic waves in periodic structures. SIAM J Numei Anal, 1995, 32: 1155–1169.
    [48] Elschner J, Hinder R, Schmidt G. Finite element solution of conical diffraction problems. Advances in Computational Mathematics, 2003, 16: 139–156.
    [49] Henn M A, Model R, Bar M, et al. On numerical reconstructions of lithographic masks in DUV scatterometry. Proc SPIE, 2009, 7390: 73900Q.
    [50] Li L, Xu M, Stegeman G I, et al. Fabrication of photoresist masks for submicrometer surfacerelief gratings. Proc SPIE, 1987, 835: 72–82.
    [51] Garnaes J, Hansen P E, Agersnap N, et al. Profiles of a high-aspect-ratio grating determined by spectroscopic scatterometry and atomic-force microscopy. Appl Opt, 2006, 45: 3201–3212.
    [52]陈刚,吴建宏,陈新荣,等.镀铬基片全息光栅光刻胶掩模槽形参量光谱检测方法.中国激光, 2006, 33: 800–804.
    [53] Marciante J R, Farmiga N O, Hirsh J I, et al. Optical measurement of depth and duty cycle for binary diffraction gratings with subwavelength features. Appl Opt, 2003, 42: 3234–3240.
    [54] Logofatu P C. Phase-modulation scatterometry. Appl Opt, 2002, 41: 7187–7192.
    [55] Born M, Wolf E. Principles of Optics, 7th ed. United Kingdom: Cambridge University, 1999: 741–744.
    [56] Novikova T, Martino A D, Hatit S B, et al. Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics. Appl Opt, 2006, 45: 3688–3697.
    [57] Antos R, Pistora J, Ohlidal I, et al. Specular spectroscopic ellipsometry for the critical dimension monitoring of gratings fabricated on a thick transparent plate. J Appl Phys, 2005, 97: 053107.
    [58] Li L, Zeng L. Measurement of duty cycles of photoresist grating masks made on top of multilayer dielectric stacks. Appl Opt, 2005, 44: 4494–4500.
    [59] Wei S, Li L. In situ monitoring for development of rectangular photoresist gratings on transparent substrates. Appl Opt, 2010, 49: 430–436.
    [60] Keck J, Oliver J B, Kessler T J, et al. Manufacture and development of multilayer diffraction gratings. Proc SPIE, 2005, 5991:59911G.
    [61] Li L. Formulation and comparison of two recursive matrix algorithms for modeling layered diffraction gratings. J Opt Soc Am A, 1996, 13: 1024–1035.
    [62] Li L. Note on the S-matrix propagation algorithm. J Opt Soc Am A, 2003, 20: 655–660.
    [63]韩力群.人工神经网络理论、设计及应用(第二版).北京:化学工业出版社, 2007: 60.
    [64]史忠植.神经网络.北京:高等教育业出版社, 2009: 56.
    [65] Levenberg K. A method for the solution of certain problem in least squares. Quart Appl Math, 1944, 2: 164–168.
    [66] Marquart D. An algorithm for least-squares estimation of nonlinear parameters. SIAM J Appl Math, 1963, 11:431–441.
    [67] Hagan M T, Menhaj M B. Training feedforward networks with the marquardt algorithm. IEEE Trans on Neural Networks, 1994, 5: 989–993.
    [68]李立峰.多层介质膜PCG的第3号设计报告. 2006. (内部报告)
    [69] Robert S, Mure-Rauvaud A, Thiria S, et al. Estimation of local error by a neural model in an inverse scattering problem. Eur Phys J Appl Phys, 2005, 31: 71–76.
    [70]郁道银,谈恒英.工程光学,第2版.北京:机械工业出版社. 2006: 282.
    [71] Hunter W R. Measurement of optical constants in the vacuum ultraviolet spectral region // Palik E D ed., Handbook of Optical Constants of Solids. Orlando: Academic Press. 1985: 69–88.
    [72] Aspnes D E. The accurate determination of optical properties by ellipsometry // Palik E D ed., Handbook of Optical Constants of Solids. Orlando: Academic Press. 1985: 89–110.
    [73]李立峰. 1200线/毫米和1800线/毫米闪耀光栅的设计. 2007. (内部报告)
    [74] Tsang W R, Wang S. Preferentially etched diffraction gratings in silicon. J Appl Phys, 1975, 46(5):2163–2666.
    [75]盛斌.真空紫外闪耀硅光栅[博士学位论文].合肥:中国科学技术大学, 2009.
    [76] Sheridon N K. Production of blazed holograms. Appl Phys Lett, 1968, 12: 316-318.
    [77] Mcphedran R C, Waterworth M D. Properties of diffraction grating anomalies. Opt Acta, 1973, 20:533-547.
    [78] Schmahl G, Rudolph D. Holographic diffraction grating // Wolf E ed., Progress in optics XIV. Amsterdam: North-Holland,1976: 197-244.
    [79] Naulleau P P, Liddle J A, Anderson E H, et al. Fabrication of high-efficiency multilayer-coated gratings for the EUV regime using e-beam patterned substrates. Opt Commun, 2004, 229: 109–116.
    [80] Mouroulis P, Hartley F T, Wilson D W, et al. Blazed grating fabrication through gray-scale X-ray lithography. Opt Express, 2003, 11: 270–281.
    [81] Johnson L F, Evolution of grating profiles under ion-beam erosion. Appl Opt, 1979, 18: 2559-2574.
    [82] Johnson L F and Ingersoll K A. Asymmetric triangular grating profiles with 90°groove angles produced by ion-beam erosion. Appl Opt, 1981, 20: 2951-2961.
    [83]徐向东.全息离子束刻蚀真空紫外及软X射线衍射光栅研究[博士学位论文].合肥:中国科学技术大学, 2001.
    [84] Nelles B, Heidemann K F, B. Kleemann. Design, manufacturing and testing of gratings for synchrotron radiation. Nulc Instr and Meth Phys Res A, 2001, 467–468: 260–266.
    [85]林慧.极紫外多层膜光栅的浮雕衬底制作及衍射效率测量与分析[博士学位论文] .北京:清华大学, 2009.
    [86] LKJ-1C-100、LKJ-1C-150离子束刻蚀系统技术及使用说明,北京埃德万斯离子束技术研究所.
    [87]刘金声.离子束技术及应用.北京:国防工业出版社, 1995.
    [69] Robert S, Mure-Rauvaud A, Thiria S, et al. Estimation of local error by a neural model in an inverse scattering problem. Eur Phys J Appl Phys, 2005, 31: 71–76.
    [70]郁道银,谈恒英.工程光学,第2版.北京:机械工业出版社. 2006: 282.
    [71] Hunter W R. Measurement of optical constants in the vacuum ultraviolet spectral region // Palik E D ed., Handbook of Optical Constants of Solids. Orlando: Academic Press. 1985: 69–88.
    [72] Aspnes D E. The accurate determination of optical properties by ellipsometry // Palik E D ed., Handbook of Optical Constants of Solids. Orlando: Academic Press. 1985: 89–110.
    [73]李立峰. 1200线/毫米和1800线/毫米闪耀光栅的设计. 2007. (内部报告)
    [74] Tsang W R, Wang S. Preferentially etched diffraction gratings in silicon. J Appl Phys, 1975, 46(5):2163–2666.
    [75]盛斌.真空紫外闪耀硅光栅[博士学位论文].合肥:中国科学技术大学, 2009.
    [76] Sheridon N K. Production of blazed holograms. Appl Phys Lett, 1968, 12: 316-318.
    [77] Mcphedran R C, Waterworth M D. Properties of diffraction grating anomalies. Opt Acta, 1973, 20:533-547.
    [78] Schmahl G, Rudolph D. Holographic diffraction grating // Wolf E ed., Progress in optics XIV. Amsterdam: North-Holland,1976: 197-244.
    [79] Naulleau P P, Liddle J A, Anderson E H, et al. Fabrication of high-efficiency multilayer-coated gratings for the EUV regime using e-beam patterned substrates. Opt Commun, 2004, 229: 109–116.
    [80] Mouroulis P, Hartley F T, Wilson D W, et al. Blazed grating fabrication through gray-scale X-ray lithography. Opt Express, 2003, 11: 270–281.
    [81] Johnson L F, Evolution of grating profiles under ion-beam erosion. Appl Opt, 1979, 18: 2559-2574.
    [82] Johnson L F and Ingersoll K A. Asymmetric triangular grating profiles with 90°groove angles produced by ion-beam erosion. Appl Opt, 1981, 20: 2951-2961.
    [83]徐向东.全息离子束刻蚀真空紫外及软X射线衍射光栅研究[博士学位论文].合肥:中国科学技术大学, 2001.
    [84] Nelles B, Heidemann K F, B. Kleemann. Design, manufacturing and testing of gratings for synchrotron radiation. Nulc Instr and Meth Phys Res A, 2001, 467–468: 260–266.
    [85]林慧.极紫外多层膜光栅的浮雕衬底制作及衍射效率测量与分析[博士学位论文] .北京:清华大学, 2009.
    [86] LKJ-1C-100、LKJ-1C-150离子束刻蚀系统技术及使用说明,北京埃德万斯离子束技术研究所.
    [87]刘金声.离子束技术及应用.北京:国防工业出版社, 1995.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700