芯片化学机械抛光过程中材料吸附去除机理的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
化学机械抛光(简称CMP)是机械削磨和化学腐蚀的组合技术,该工艺的基本原理是借助抛光液中磨粒的机械磨削及化学氧化剂的腐蚀作用来完成对工件表面的材料去除,并获得光洁表面。由于化学机械抛光技术(CMP)在全局平坦化方面独一无二的特点以及操作步骤少等特色,已成为目前公认的唯一的全局平坦化技术,在集成电路(IC)芯片生产线上广泛应用了十几年。但是由于CMP过程影响因素的复杂性,抛光盘特征参数和工作参数对于CMP材料去除率的敏感性和平坦化程度的影响规律仍没有被人们充分认识,抛光液中磨粒的机械作用和化学物质的化学作用的协同效应对CMP材料去除率的影响规律还需要进一步的深入研究。随着集成电路产品制造朝着高精度、高密度、高集成度方向的快速发展,CMP工艺面临严峻挑战。目前CMP技术遇到的最大挑战状是需要一个更全面的物理模型来合理解释CMP的各种结果,从理论上指导CMP加工参数的优化,建立起更为可靠的、高效的CMP过程。
     本论文建立了更为完善的、考虑更多因素的CMP数学模型。首先,本文根据分子动力学模拟单个磨粒切削芯片的研究结果,提出了一种基于CMP芯片表面材料非晶层吸附去除机理。并基于这种机理,结合芯片/磨粒/抛光盘三体接触当量梁的弯曲假设,充分考虑了抛光盘与芯片接触的大变形和超弹性特点,应用芯片/抛光盘大变形非线性修正赫兹接触理论建立了一种新的表征机械化学抛光过程中材料去除速率的数学模型。通过微观实验和理论计算,证实了CMP材料非晶层吸附去除机理的合理性。模型中引入了一个表征单个磨粒吸附去除芯片表面非晶层能力的比例系数κ,κ体现了化学作用和机械作用对CMP过程的综合影响。模型中建立了计算单个磨粒压入芯片的深度δ_w的新模型,新模型更加全面,包含了更加丰富的信息。
     接着,通过理论计算,确认了磨粒与芯片表面分子间的吸附力对CMP材料去除过程的重要影响。在此基础上,根据磨粒在CMP过程的力平衡方程式,导出一个考虑分子间作用力的磨粒压入芯片表面的深度模型。经过理论分析,找到了判断CMP材料去除模型中磨粒/芯片分子间的吸附力是否可以忽略的判断依据及临界值。然后利用这些理论结果修正了前面提出的CMP过程非晶层材料吸附去除机理,建立起考虑磨粒与芯片表面分子间的吸附力的CMP材料去除模型,并与旧的模型进行了对比。结果发现:磨粒较小时,分子间的吸附力的影响较为明显;磨粒较大时,分子间的吸附力对CMP过程的影响可以忽略不计。
     随后,通过深入分析CMP过程氧化剂与磨粒的化学机械协同作用机理,将CMP过程分为两个阶段:化学作用主导阶段和机械作用主导阶段。然后应用微观接触力学和颗粒粒度分布理论,对这两个阶段分别建立了表征芯片表面材料吸附去除率的数学模型,并根据这两个阶段的平衡点推出了表征芯片表面氧化膜生成速度的数学表达式。根据芯片表面氧化膜生成速度的理论计算结果,从理论上证实了CMP单分子层材料去除机理假设的正确性。
     最后,本文根据芯片表面分子/原子氧化去除动态平衡原理,从能量角度推导出了定量计算磨粒吸附系数k的理论公式,并定性分析了众多物理化学因素对CMP过程磨粒吸附系数k的影响规律。并利用这个公式对前面提出的CMP过程材料非晶层吸附去除模型进行了修正。修正后的得到的CMP单分子层材料吸附去除模型以表面分子/原子氧化去除动态平衡原理为基础,模型中不仅考虑了芯片、磨粒、抛光垫以及抛光工艺等参数对材料去除率的影响,而且考虑了芯片/磨粒分子间的吸附力、磨粒压入深度和抛光盘的大变形和超弹性特点的影响;创造性地引入了氧化剂浓度C_0,从而使氧化剂浓度对CMP过程材料去除速率的影响规律可以定量化计算,理论计算结果成功地解释了氧化剂浓度C_0增加到一定程度,CMP材料去除率趋于饱和,即不再增加的现象。CMP单分子层材料吸附去除模型反映出的磨粒平均直径、氧化剂浓度和抛光盘弹性模量对CMP材料去除率的影响规律与试验结果非常吻合,清晰地解释了CMP中化学机械作用的协调性。
     本论文通过严密的理论推导和试验验证,建立了定量描述CMP材料去除率的数学物理模型,为优化CMP工艺参数提供理论依据,为高效、环保、精确和低成本地控制CMP过程提供了一定的理论指导。
Chemical mechanical polishing combines aqueous corrosion chemistry and contact mechanics to obtain material removal and planarization of wafer surface. Chemical mechanical polishing (CMP) is superior to other planarization technologies in producing excellent local and global planarization at low cost, and thus is widely adopted in IC fabrication. However, the fundamental mechanisms of material removal and the interactions of the chemical and mechanical effects are not well understood, which limits a better control and improvement of the CMP process. With the rapid development to smaller feature size, higher resolution, denser packing and multi-layer interconnects of ultra large scale integrated (ULSI) circuits, the CMP techonology now is facing a stringent challenge. The present challenge of the CMP processing is to develop physical models to explain these processes which then will lead to the development of new and more robust processes.
     In this study, fundamental understandings of the mechanics of material removal during CMP are established based on the modeling of wafer-pad-particle interactions. First, On the basis of the analysis of the molecular dynamics simulation of sliding contact between a single micro-particle and a smooth flat surface, a new approach for the material removal in CMP process is put forward in this paper, which deems that the amorphous material is stripped off the wafer surface to be the wear debris due to the adhesion of the abrasive particles when sliding across the wafer surface. Meanwhile, in accordance with this approach, combined with the equivalent beam bending model for a three body contact among pad/wafer/particles, a new mathematical model about the material removal rate in CMP process is developed, The model comprehensively considers the influence of most valuables in CMP process including pad properties, operational conditions and slurry characteristics. Especially, the large deformation and the super elasticity of the pad while in contact with wafer surface are involved in the model. What's more, a new important parameter k, named adhesion coefficient, which represents the ability to remove the amorphous layer on wafer surface by a single particle, is firstly put forward in the model. It is the comprehensive parameter incorporating the mechanical effect and the chemical effect. After the validation of some teams of experimental data, it is found that the removal rates predicted by the model agree well with those experimental value under same CMP conditions.
     Then, through theorical calculation, the importance of the molecular adhesion force between a particle and wafer surface to CMP material removal rate is confirmed. And then, based on the force balance equation of particles embedded into the interface between the pad and wafer surface during CMP, a new model considering the molecular adhesion force of the indentation depth of a particle into wafer surface is achieved. In addition, the result of the new model is compared with the old one which did not consider the effect of the molecular adhesion force. After theorical analysis and deduction,the estimation criterions and critical value which deside whether the molecular adhesion force should be neglected or not are introduced. Utilizing these theorical results, the aftermentioned amorphous material removal model in CMP is reviced by considering the molecular adhesion force. After validation of experimental data, it is concluded that when the abrasive diameter is smaller than the critical value, the effect of molecular adhesion force on CMP material removal rate is noticeable and can not be neglected, when larger than the critical value, the effect of molecular adhesion force can be neglected.
     Furthermore,according to the theoretical and experimental analysis, the CMP process is divided into two phases:chemical effect dominant phase and mechanical effect dominant phase. Then, from the balance point of the two phases, a new equation, which can quantitatively describes the generation rate of oxidized layer on wafer surface in CMP is developed. The modeling of the generation rate of oxidized layer in CMP will further the research of the CMP material removal mechanism and offer a direction to control the CMP process more accurately. Based on the theorically calculating result of generation rate of oxidized layer, it is authenticated that the hypothesis of molecular scale material removal mechanism in CMP is right.
     Finally, on the basis of the dynamical equilibrium of oxidation and removal of wafer surface atoms/molecules, the adhesion coefficient k is deduced quantitively from the scope of energy. And then the effects of lots of physical and chemical variables on the adhesion coefficient k are analysed qualitatively. Utilizing the equation of k, the aftermentioned amorphous material removal model in CMP is reviced. The reviced model is based on the chemical-mechanical synergetic effects, and not only incorporates the mechanical effect of the slurry particles, the chemical role of the slurry, other important factors on CMP process such as wafer material properties, pad surface profiles and operating variables, but also includes the influence of molecular adhesion force between wafer surface and abrasive particle, the large deformation and super elactricity of the contact of pad and wafer surface and the identation depth of abrasive into wafer surface. Particularly, the oxidant concentration in slurry is firstly integrated in the model, which causes that the influence law of the oxidant concentration in slurry on material removal rate MRR can be calculated quantitatively. The model predictions of abrasive diameter, oxidant concentration as well as pad elasticity modulus are presented in graphical form and show good agreement with the published experimental data.
     In general, the optimization of the controlling parameters involved in the polishing process can be obtained through model predictions. Optimization scheme of high performance slurries and operation parameters to reduce wafer scale variation can be developed on the basis of this study. In addition, the value of the experimental and modeling efforts of current study can provide guidelines for the design of novel polishing processes and for the identification of unexplored process parameters. The reported findings in this study can also provide a fundamental understanding of the polishing mechanisms and can provide guidelines for controlling the CMP process more accurately.
引文
[1]Hahn P O. The 300 mm silicon wafer-A cost and technology challenge[J]. Microelectron Eng,2001,56:3-13
    [2]International technology roadmap for semiconductors, interconnect. ITRS,2001Eidtion.
    [3]Nanz G, Camilletti L E. Modeling of chemical mechanical polishing:a review[J]. IEE Trans Semicond Manufact,1995,8(4):382-389
    [4]赵永武,刘家浚.半导体芯片化学机械抛光过程中材料去除机理研究进展[J].摩擦学学报,2004,24(3):283-287
    [5]刘玉岭,檀柏梅,张楷亮.超大规模集成电路衬底材料性能及加工测试技术工程[M].北京:冶金工业出版社,2002
    [6]Luo Q, Ramarajan S, Babu S V. Modification of the Preston equation for the chemical mechanical polishing of copper[J]. Thin Solid Films,1998,335:160-167
    [7]Parshuram B Z, Ashok K, Sikder A K. Chemical mechanical planarization for microelectronics applications[J]. Mater Sci Eng R:Reports,2004,45:89-220
    [8]Evans C J, Ppaul E, Dornfeld D, et al. Material removal mechanisms in lapping and polishing[J]. Annals of the CIRP,2003,152:611-633
    [9]雷红,张鹏珍.化学机械抛光技术在超精加工中的应用[J]. Journal of Shanghai University(English Edition),2004,8(Suppl.):19-23
    [10]Malid Hasan M. Manufacturability of the CMP process[J]. Thin Solid Films,1995, 270:612-615.
    [11]Jairath R, Farkas J, Huang C K, et al. Chemical mechanical polishing process manufacturability[J]. Solide State Technology,1994,7:71-75.
    [12]苏建修,康仁科,郭东明.超大规模集成电路制造种硅片化学机械抛光技术分析[J].半导体技术,2003,28(10):27-32.
    [13]Ali I. Chemical-mechanical planarization fundamental issues of interlevel dielectric applications[A]. Meet Solid State Sci. Technol. Subsection Proc[C].1992.
    [14]张楷亮,宋志棠,封松林,Chen Bomy. ULSI化学机械抛光的研究与展望.微电子学,2005,VoL 35, No 3.:226-230
    [15]Strausser Y E, Hetherington D L. Atomic force microscopy enhances chemical mechanical polishing[J]. Semicond Int,1996,19(4):81-85
    [16]Liu F, Sutcliffe M P F. Modeling of delamination of ultra low-k material during chemical mechanical polishing[J]. Tribol Lett,2007,25(3):225-236
    [17]Abrasives in the rond[J]. Science,2006,312:1437.
    [18]Lawing A S. Pad conditioning and pad surface characterization in oxide chemical mechanical polishing[J]. Mat Res Soc Symp Proc,2002,732E:1531-1536
    [19]Agarwal. Hydrodynamic and Solid Mechanics of the CMP Process[D]. [Master's Thesis]. University of Florida, Gainesville, FL (2000).
    [20]R. Jairath, M. Desai, M. Stell, R. Tolles and D. Scherber-Brewer. in Advanced Metallization for Devices and Circuits-Science, Technology and Manufacturability, S.P Murarka, A. Katz, K.N. Tu and K. Maex, Editors,337, p.121, Materials Research Society Proceedings Series, Pittsburgh, PA (1994).
    [21]T. K. Yu, C. C. Yu and M. Orlowski. Proceedings of the 1993 International Electronic Devices Meeting,4.1,35 (1994).
    [22]Kuide Qin, Multi-Scale Modeling of the Slurry Flow And the Material Removal In Chemical Mechanical Polishing[D]. [Ph.D.thesis]. University of Florida,2003
    [23]J.M. Steigerwald, S.P. Murarka and R.J. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials, John Wiley and Sons, New York, NY (1997).
    [24]D. J. Stein, D. L. Hetherington and J. L. Cecchi, J. Electrochem. Soc.,145,1934 (1999).
    [25]S. Sivaram, M. H.M. Bath, E. Lee, R. Leggett and R. Tolles, Proc. SRC Topical Research Conference on Chem-Mechanical Polishing for Planarization, SRC, Research Triangle Park, NC,8(1992).
    [26]G. B. Basim. Formulation of Engineered Particulate Systems for Chemical Mechanical Polishing Applications[D]. [Ph.D. Dissertation]. University of Florida, Gainesville, FL (2002).
    [27]Fury M. A. Emerging developments in CMP for Semiconductor Planarization[J]. Solid State Technology,1995,38 (4):47.
    [28]Preston F. The theory and design of plate glass polishing machines[J]. J Soc Glass Technol,1927, (11):214-256
    [29]W. J. Patrick, W. L. Guthrie, C. L. Standley and P. M. Schiable, J. Electrochem. Soc.,138, 1778(1991).
    [30]何捍卫,胡岳华,周科朝,徐竞.金属的化学机械抛光技术研究进展[J].应用化学,2003,V01.20 No.5:415-419
    [31]江瑞生.集成电路多层结构中的化学机械抛光技术[J].半导体技术,1998,23(1):6-9
    [32]John Schuler.CMP technology and markets. SEMICON China 99 Techanical Symposium.March 17-18,1999,Beijing,China
    [33]W. C. O'mara.Planarization by Chemical Mechanical Polishing for Multilevel Metal Integrated Circuits. O'mara & Associates, Palo Alto, CA (1994).
    [34]Chen et al. US Patent No.5,597,442 (1997).
    [35]顾坚,李正兴,赵永武等.单头单面旋转式化学机械抛光机的运动机理研究[J].煤矿机械,2006,27(8):39-41
    [36]仲宝春.CMP加工过程中均匀去除率的研究[J].电子工业专用设备,2007,36(1):58-61
    [37]雷红,雒建斌,马俊杰.化学机械抛光(CMP)技术的发展、应用及存在问题[J].润滑与密封,2002年第4期:72-76
    [38]J Singer P. Chemical-mechanical polishing:A new focus on consumables, Semiconductor Int'l.1994,17(2):48.
    [39]马俊杰,潘国顺,雒建斌,等.计算机硬磁盘CMP中抛光工艺参数对去除率的影响[J].润滑与密封,2004,(1):1-3
    [40]何捍卫,胡岳华,黄可龙,等.影响CMP用纳米A1203磨粒粒度均一性的因素及其机理[J].矿冶工程,2002,22(1):42-45
    [41]钟旻,张凯亮,宋志棠,等.ULSI化学机械抛光材料去除机制模型[J].润滑与密封,2006,177(5):170-173.
    [42]李秀娟,金洙吉,康仁科,等.抛光液中缓蚀剂对铜硅片的影响[J].半导体学报,2005,26(11):2259-2263
    [43]Lei H, Luo J B. CMP of hard disk substrate using a colloidal SiO2 slurry:preliminary experimental investigation[J]. Wear,2004,257:461-470
    [44]严波,张晓敏,吕欣.微电子材料化学机械平坦化加工中的材料去除模型[J].工程力学,2004,21(5):126-131
    [45]Borucki L. Mathematical modeling of polishing-rate decay in chemical mechanical polishing[J]. J Eng Mathematics,2002,43:105-114
    [46]Sukharev V. Fluctuation model of chemical mechanical planarization[J]. J Electrochem Soc,2001,148(3):G172-G177
    [47]Jiun-Yu Lai. Mechanics, mechanism, and modeling of the chemical mechanical polishing process[D]:[Ph.D.thesis]. National Taiwan University, February 2001
    [48]JianfengLuo. Integrated Modeling of Chemical Mechanical Planarization/Polishing (CMP) for Integrated Circuit Fabrication:From Particle Scale to Die and Wafer Scales[D]: [Ph.D.thesis]. University of California, Berkeley,2003
    [49]Lord Rayleigh,1917, "Polish," Trans. Opt. Soc.,19(1):38-47.
    [50]Izumitani, T.S.,1986, Optical Glass, English Translation from American Institute of Physics, New York, NY:1-3.
    [51]Preston, F.W.,1926, "The Nature of the Polishing Operation," Trans. Opt. Soc.,27(3): 181-190.
    [52]J.M. Steigerwald, S.P. Murarka and R.J. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials, John Wiley and Sons, New York, NY (1997).
    [53]F.G. Shi, B. Zhao and S.-Q. Wang, Proc. of International Technology Conference, San Francisco, CA,73 (1998).
    [54]W.-T. Tseng and Y.-L. Wang, J. Electrochem. Soc.,144,14 (1997).
    [55]Q. Luo, S. Ramajan and S.V. Babu, Thin Solid Films,335,160 (1998).
    [56]Zhao B, Shi F G. Chemical mechanical polishing:threshold pressure and mechanism[J]. Electrochem Soild-state Lett,1999,2(3):145-147
    [57]Homma Y. Dynamical mechanism of chemical mechanical polishing analyzed to correct preston's empirical model[J]. J Electrochem Soc,2006,153(6):G587-G590
    [58]S. R. Runnels. Feature-scale fluid-based erosion modeling for chemical mechanical polishing[J]. Journal of Electrochemical Society,1994, Vol.141, No.7:1900-1904,
    [59]S. R.Runnels and L. M. Eyman. Tribology analysis of chemical mechanical polishing[J] Journal of Electrochemical Society,1994,Vol.141, No.6:1698-1701,
    [60]J. Hamrock, Fundamentals of Fluid Film Lubrication, McGraw-Hill, New York, NY (1994).
    [61]S. Sundararajan, D. G. Thakurta, D. W. Schwendeman, S. P. Murarka, and W. N. Gill, J. Electrochem. Soc.,146,761 (1999).
    [62]C.-H. Cho, S.-S. Park and Y. Ahn, Thin Solid Films,389,254 (2001).
    [63]J. M. Chen and Y.-C. Fang, IEEE Trans. Semiconduct. Manuf.,15,39 (2002).
    [64]Hocheng H, Tsai H Y, Su Y T. Modeling and experimental analysis of material removal rate in the chemical mechanical planarization of dielectric films and bare silicon wafers[J]. J Electrochem Soc,2001,148 (10):G581-G586
    [65]钟晏,张楷亮,宋志棠,封松林.ULSI化学机械抛光(CMP)材料去除机制模型[J].润滑与密封,第5期(总第177期):170-172
    [66]J. Larsen-Basse, H. Liang. Probable role of abrasion in chemical mechanical polishing tungsten[J]. Wear 233-235(1999):647-654.
    [67]J. A. Levert, F. M. Mess, R. F. Salant, et al. Mechanism of chemical mechanical polishing of SiO2 Dielectric on integrated circuits [J]. Tribology Transactions,1998,41 (4): 579-599.
    [68]Lin J F, Chern J D, Chang Y H, et al. Analysis of the tribological mechanisms arising in the chemical mechanical polishing of copper film wafers[J]. J Tribol,2004,126:185-198
    [69]Brown, N.J., Cook, L.M.,1984, Paper TuB-A4, Tech. Digest, Topical Meeting on theScience of Polishing, OSA,17 Apr.1984.
    [70]Brown, N. Preparation of Ultrasmooth Surfaces[J]. Ann. Rev. Mater. Sci., 1986,16:371-388.
    [71]Cook L.M. Chemical Processes in Glass Polishing[J]. J. of Non-Cryst. Solids, 1990,120:152-171.
    [72]C. W. Liu, B. T. Dai, W. T. Tseng, C. F. Yeh. Modeling of the Wear Mechanism during Chemical Mechanical Polishing[J]. Journal of Electrochemical Society,1996.
    [73]Xie Y S, Bhushan B. Effects of particle size, polishing pad and contact pressure in free abrasive polising[J]. Wear,1996,200:281-295
    [74]Luo J, Dornfeld D A. Material removal mechanism in chemical mechanical polishing: theory and modeling[J]. IEE Trans Semicond Manufact,2001 (14):112-133
    [75]Zhao Y W, Chang L. A micro-contact and wear model for chemical-mechanical polishing of silicon wafers[J]. Wear,2002,252:220-226
    [76]Luo J, Dornfeld D A. Material removal regions in chemical mechanical planarization for submicron integrated circuit fabrication:coupling effects of slurry chemicals, abrasive size distribution, and wafer-pad contact area[J]. IEE Trans Semicond Manufact,2003, 16(1):45-56
    [77]Bielman M, Mahajan U, Singh R K. Effect of particles size during tungsten chemical mechanical polishing[J]. Electrochem Soild-state Lett,1999,2 (8):401-403
    [78]Jeng Y R, Huang P Y. Impact of abrasive particles on the material removal rate in CMP[J]. Electrochem Soild-state Lett,2004,7 (2):G40-G43.
    [79]Jeng Y R, Huang P Y A material removal rate model considering interfacial micro-contact wear behavior for chemical mechanical polishing[J]. J Tribol,2005,127: 190-197
    [80]Zhao Y W, Chang L. A model of asperity interactions in elastic-plastic contact of rough surfaces[J].J Tribol,2001,123:857-864
    [81]Zhao Y W, Maietta D M, Chang L. An asperity contact model incorporating the transition from elastic deformation to full plastic flow[J]. J Tribol,2000,122:86-93
    [82]Zhou C, Shan L, Hight J R, et al. Influence of colloidal abrasive size on material removal rate and surface finish in SiO2 chemical mechanical polishing[J]. Triobol Trans,2002, 45(2):232-238
    [83]Tamboli D, Banerjee G, Waddell M. Novel interpretations of CMP removal rate dependencies on slurry particle size and concentration[J]. Electrochem Soild-state Lett, 2004,7(10):F62-F65
    [84]Kuide Q, Brij M, Chang W P. A chemical mechanical polishing model incorporating both the chemical and mechanical effects[J]. Thin Solid Films,2004,446:277-286
    [85]Goodarz Ahmadi, Xun Xia. A model for mechanical wear and abrasive particle adhesion during the chemical mechanical polishing process[J]. Journal of Electrochemical Society, 2001,Vol.148(3):99-109
    [86]F. G. Shi,B. Zhao. Modeling of chemical mechanical polishing with soft pads[J]. Applied Physics, A,1998, Vol.67:123-130
    [87]Fu G, Chandra A, Guha S, et al. A plasticity-based model of material removal in chemical mechanical polishing (CMP) [J]. IEE Trans Semicond Manufact,2001,14:406-417
    [88]Zhou C, Shan L, Hight J R, et al. Influence of colloidal abrasive size on material removal rate and surface finish in SiO2 chemical mechanical polishing[J]. Triobol Trans,2002, 45(2):232-238
    [89]Che W, Guo Y J, Chandra A. A scratch intersection model of material removal during chemical mechanical planarization (CMP) [J]. J Manuf Sci Eng,2005,127:545-554
    [90]Bastawors A, Chandra A, Guo Y J, et al. Pad effects on material removal rate in chemical mechanical planarization[J]. J Electron Mater,2002,31(10):1022-1031
    [91]C. Liu, et al. Modeling of the wear mechanism during chemical mechanical polishing of tungsten [J]. Wear 233-235 (1999) 647-654.
    [92]M. Bielmann, et al. Effect of particle size during tungsten chemical mechanical polishing [J].Electrochemical and Solid-State Letters,1999,2 (8):401-403.
    [93]D. J. Stein,J. L. Cecchi. Atomic force microscopy, laterial force microscopy and transmission electron microscopy investigations and adhesion force measurements for elucidation of tungsten removal mechanisms [J]. Journal of Materials Research,1999,14 (9):3695-3706.
    [94]Zeng T F, Thomas S. Size effect of nanoparticles in chemical mechanical polishing-a transient model[J]. IEE Trans Semicond Manufact,2005,16:655-663
    [95]Christopher L B, Dipto G T,William N G, et al. Surface kinetics model for SiLK chemical mechanical polishing[J]. J Electrochem Soc,2002,149:G118-G127
    [96]Kaufman F B, Thompson D B, Broadie R E, et al. Chemical mechanical polishing for fabricating patterned W metal features as chip interconnects [J]. J Electrochem Soc, 1991,138 (11):3460-3465
    [97]Chen P S, Shih H C, Huang B W, et al. Catalytic-pad chemical kinetics model of CMP[J]. Electrochem Soild-state Lett,2003,6(12):140-142
    [98]Chen P S, Huang B W, Shih H C. A chemical kinetics model to explain the abrasive size effect on chemical mechanical polishing[J]. Thin Solid Films,2005,476:130-136
    [99]Christopher L B, Dipto G T,William N G, et al. Surface kinetics model for SiLK chemical mechanical polishing[J]. J Electrochem Soc,2002,149:118-127
    [100]David J S, Hetherington D L, Cecchi J L. Investigation of the Kinetics of Tungsten Chemical Mechanical Polishing in Potassium Iodate-Based Slurries I. Role of Alumina and Potassium Iodate[J].J Electrochem Soc,1999,146(1):376-381
    [101]David J S, Hetherington D L, Cecchi J L. Investigation of the kinetics of tungsten chemical mechanical polishing in potassium iodate-based slurries Ⅱ roles of colloid species and slurry chemistry[J]. J Electrochem Soc,1999,146 (5):1934-1938.
    [102]Min S L, Paul A W, Scott S P, et al. Microscopic investigations of chemo-mechanical polishing of tungsten[J]. Thin Solid Films,2004,457:346-353
    [103]Estragnat E, Tang G, Liang H, et al. Experimental investigation on mechanisms of silicon chemical mechanical polishing[J]. J Electron Mater,2004,33(4):334-339
    [104]卜俊鹏,郑红军,何宏家,等.GaAs晶片化学机械抛光的机理分析[J].固体电子学研究与进展,1997,17(4):399-402
    [105]王亮亮,路新春,潘国顺,等.硅片化学机械抛光中表面形貌问题的研究[J].润滑与密封,2006,174(2):65-68
    [106]陈杨,陈志刚,李霞章,等.硅晶片化学机械抛光材料去除机制与模型[J].润滑与密封,2006,176(4):119-126
    [107]Zhao Y W, Chang L, Kim S H. A mathematical model for chemical mechanical polishing based on formation and removal of weakly bonded molecular species[J]. Wear, 2003,254:332-339
    [108]王永光,赵永武.基于分子量级的化学机械抛光材料去除机理[J].半导体学报,2007,28(2):130-134
    [109]Zhang F, Busnaina A. The role of particle adhesion and surface deformation in the chemical mechanical polishing process[J]. Electrochem Soild-state Lett,1998, 1(4):184-187
    [110]Ahmadi G, Xia X. A model for mechanical wear and abrasive particle adhesion during the chemical mechanical polishing process[J]. J Electrochem Soc,2001,148:99-109
    [111]Bastaninejad M, Ahmadi G. Modeling the effects of abrasive size distribution, adhesion, and surface plastic deformation on chemical mechanical polishing[J]. J Electrochem Soc,2005,152(9):720-730
    [112]Y u T, Y u C, Orlowski M. A Statistic Polishing Pad Model for Chemical Mechanical Polishing[C]//Proceedings of the 1993 International Electron Devices Meetings, IEEE. Washington DC,1993:865-868.
    [113]Ticky J., Levert J.A., Shan L., et al. Contact Mechanics and Lubrication Hydrodynamics of Chemical Mechanical Polishing[J]. Journal of the Electrochemical Society, 1999,146(4):1523-1528
    [114]Cook L.M., Chemical Processes in Glass Polishing[J].Journal of Non-Crystalline Solids,1990,120:152-171
    [115]Luo J, Dornfeld D A. Review of chemical mechanical planarization modeling for integrated circuit fabrication:form particle scale to die and wafer scales. University of California-Berkely.2003.[EB/OL]. http://repositories.cdlib.org/lma/pmg/2002_luo_1.
    [116]Paul E. A model of CMP chemical mechanical polishing[J]. J Electrochem Soc,2001, 148 (6):355-358
    [117]Paul E. A model of chemical mechanical polishing Ⅱ.polishing pressure and speed[J]. J Electrochem Soc,2002,149 (5):305-308
    [118]Paul E. Amodel of CMP Ⅱ.inhibitors[J]. J Electrochem Soc,2003,150 (12):739-743
    [119]Paul E, Kaufman F, Brusic A, et al. A model of copper CMP[J]. J Electrochem Soc, 2005,152 (4):322-328
    [120]Hocheng H, Tsai H Y, Su Y T. Modeling and experimental analysis of material removal rate in the chemical mechanical planarization of dielectric films and bare silicon wafers[J]. J Electrochem Soc,2001,148 (10):581-586
    [121]Wang L, Zhang K, Song Z, et al. Effect of chemicals on chemical mechanical polishing of glass substrates[J]. Chin Phys Lett,2007,24(1):259-261
    [122]U. Mahajan. Fundamental Studies on Silicon Dioxide Chemical Mechanical Polishing[D]:[Ph.D Dissertation].University of Florida, Gainesville, FL(2000).
    [123]M. Bielmann. Chemical Mechanical Polishing of Tungsten[D]:[Master's Thesis]. University of Florida, Gainesville, FL (1998)
    [124]Q. Luo, Chemical Mechanical Polishing of Copper Thin Films[D]:[Ph.D. Dissertation]. Clarkson University, New York (1999).
    [125]Bielmann M. Effect of Particle Size during Tungsten Chemical Mechanical Polishing[J]. Electrochemical and Solid-State Letters,1999,2(8),401-403.
    [126]Zhang Liangchi, Tanakat H. Atomic Scale Deformation in Silicon Monocrystals Induced by Two-Body and Three-Body Contact Sliding[J]. Tribology International, 1998,31(8):425-433.
    [127]Yu Siyuan, Lin Bin, Lin Bin. research on the ultraprecisely machined surface quality of engineering ceramics[J].Diamond & Abrasives Engineering,2002(5):12-16
    [128]Tian Xinli, Xu Yanshen, Peng Zemin,Lin Bin.Generating mechanism of surface degenerating layer on ceramic grinding. Chinese Journal of Mechanical Engineering[J].2000,36(11):30-32
    [129]Liangchi Zhang, Hiroaki Tanaka. Towards a deeper understanding of wear and friction on the atomic scalema molecular dynamics analysis[J].Wear 211 (1997) 44-53
    [130]Greenwood J A, Williamson J B P. Contact of nominally flat surface[M], London:Proc.R.Soc, Ser.A.1996,295:300-319
    [131]赵永武,吕彦明,蒋建忠.一种较完善的粗糙表面弹塑性接触模型[J].机械工程学报,2007,3:95-101
    [132]温诗铸,黄平.摩擦学原理(第3版)[M].北京:清华大学出版社,2008,9.
    [133]K. L. Johnson.Contact Mechanics[M].Cambridge University Press, Cambridge (1985).
    [134]Levert J A, Mess F M, Salant R F, et al. Mechanisms of Chemical-Mechanical Polishing of SiO2 Dielectric on Integrated Circuits[J]. Tribology Transactions,1998,41 (4):593-599.
    [135]Blatz P J, Ko W L. Application of finite elastic theory to the deformationof rubber materials[J]. Trans. Soc. Rheology,.1968,6:223-251.
    [136]Andrew Kim. A soft elastohydrodynamic contact model for chemical mechanical planarization[D]:[Ph.D.thesis]. New York,:Rensselaer Polytechnic Institute,Troy, 2001
    [137]张凯亮.CMP纳米抛光液及抛光工艺相关技术研究[D]:[博士后研究报告].上海:中国科学院上海微系统与信息技术研究所.2006
    [138]徐进.纳米颗粒碰撞固体表面损伤试验研究[D]:[博士后研究报告].北京:清华大学.2005
    [139]Cooper K, Cooper J, Groschopf J, et al. Effects of particle concentration on chemical mechanical planarization[J]. Electrochem Soild-state Lett,2002,5(12):109-112
    [140]Choi W, Abiade J, Lee S M, et al. Effects of slurry particles on silicon dioxide CMP[J]. J Electrochem Soc,2004,151 (8):512-522
    [141]Borucki L. Lubrication layer perturbations in chemical-mechanical polishing. [EB/OL]. http://www.wpi.edu/Academics/Depts/Math/News/MPI2005/PDF/Borucki-CMPLubricat ionLayer.pdf
    [142]Svarovsky L. Solid-Liquid Separation (2nd edition) [M]. Butterworth & Co (Publisher) Ltd,1981
    [143]Qin K, Moudgil B, Park C W. A Chemical Mechanical Polishing Model Incorporating both the Chemical and Mechanical Effects[J].Thin Solid Films,2004,446(2):277-286.
    [144]Jongwon Seok,Cyriaque p. etc.Material removal model for chemical-mechanical polishing considering wafer flexibility and edge effects[J]. wear,2004 (257):496-508
    [145]Sukam C P, Seok J, Kim A T, et al. Modeling of material removal for fixed abrasive CMP:blanket Wafers[C]. Walter Lincoln Hawkins graduate research conference, Troy, New York.2002
    [146]Guanghui Fu. Modeling of chemical mechanical polishing at multiple scales[D]:[Ph.D.thesis]. Ames:Iowa State University,2002
    [147]Yongsik Moon. Mechanical Aspects of the Material Removal Mechanism in Chemical Mechanical Polishing(CMP)[D]:[Ph.D.thesis]. Berkeley:University of California,1999
    [148]Krishnashree Achuthan. Evaluation and Characterization of Polyurethane Chemical Mechanical Planarization Polishing pads[D]:[Ph.D.thesis]. Clarkson University,1998
    [149]Shanxi Chemical Research Institute. PUR elastomer manual. Beijing:chemical technologies press,2001
    [150]R. Chauhan, Y. Ahn, S. Chandrasekar, T.N. Farris. Role of indenting fracture in free abrasive machining of ceramics[J]. Wear 1993 (162-164):246-257
    [151]Fan Zhang. Submicron particle adhension and removal in chemical-mechanical polishingand wafer cleaning process[D]:[Ph.D.thesis]. Clarksom University,2002
    [152]YongsongXie, Bharat Bhushan.Effects of particle size,polishing pad and contact pressure in free abrasive polishing[J]. wear,1996 (200):281-295
    [153]Brown, N., Baker, P., and Parks, R.. Polishing to Figuring Transition in Turned Optics, Proc. SPIE 306:58(1981)
    [154]Kogut L. A Generalized Contact Analysis of Elastic-Plastic Spherical Indentation[C] //15th Annual CML sponsors'meeting. UC Berkeley,2003:122-126.
    [155]L. Chang. On the CMP Material Removal at the Molecular Scale J. Tribol.2007 (129),Issue 2,436(2 pages)
    [156]严波,张晓敏,吕欣.微电子材料化学机械平坦化加工中的材料去除率模型[J].工程力学,2004,21(10):126-131
    [157]U Day Mahajan. Fundamental Studies on Silicon dioxide chemical Mechanical Polishing[D]:[Ph.D. thesis]. Graduate school of the university of Florida,2000
    [158]MEMS and Nanotechnology Clearinghouse. Material:Silicon Dioxide (SiO2), film [EB/OL]. http://www.memsnet.org/material/silicondioxidesio2film
    [159]Katsuki F, Saguchi A, Takahashi W, et al. The atomic-scale removal mechanism during Si tip starching on Si and SiO2 surfaces in aqueous KOH with an atomic force microscope[J]. Jpn J Appl Phys,2002,41:4919-4923
    [160]Katsuki F, Kamei K, Saguchi A, et al. AFM studies on the difference in wear behavior between Si and SiO2 in KOH solution[J]. J Electrochem Soc,2000,147:2328-2331
    [161]Anurag Jindal,Ying Li, S.V. Babu. Effect of PH on Chemical-Mechanical Polishing of Copper and Tantalum[J].Symp. Proc. Materials Research Society,2001,67:6.8.1-6.8.6
    [162]Zhou Yu. Ceramic materials. [M]. HareBin:HareBin Institute of Technology Press,1995
    [163]Chunhong Zhou,Lei Shan,S.H.Ng, etc. Effects of nano-scale colloidal particle on SiO_2 by chemical mechanical polishing[J]. Materials Research Society Symp.Proc. Vol.671:1.6.1-1.6.7
    [164]P. Wrschka J. HERNANDEZ, Y.S.Kuan, etc. Polishing parameter dependencies and surface oxidation of chemical mechamical polishing of Al thin films[J].Journal of The Electrochemical Society,1999,146(7):2689-2696
    [165]Cheol-Hyun Han,Eun Sok Kim. Micromachined Piezoelectric Ultrasonic Transducers Based on Parylene Diaphragm in Silicon Substrate 2000
    [166]Saraswat. Interconnections:Aluminum Metallization, EE 311Spring 2003
    [167]Subir Bhattacharjee, Jeffrey Y. Chen,Menachem Elimelech. DLVO interaction energy between spheroidal particles and a flat surface[J].Colloids and Surfaces A: Physicochemical and Engineering Aspects,2000,165(1-3):143-156
    [168]Gale W. G. [ph.D Thesis]. Clarkson University,1995
    [169]Gady, Barrett, L. Measurement of Interaction Forces Between Micrometer-Sized Particles and Flat Surfaces Using an Atomic Force Microscope[D]:[Ph.D. Thesis]. Purdue University,1996
    [170]Igor Sokolov, Quy K. Ong, Hasan Shodiev, etc. AFM study of forces between silica, silicon nitride and polyurethane pads[J]. Journal of Colloid and Interface Science, 2006,300(2):475-481
    [171]Bowling R. A. A theoretical review of particle adhesion, Particles on Surface 1: Detection, Adhesion, and Removal[M]. New York:plenum Press,1988:129-142
    [172]Hamaker, H. C. The London-van der Waals attraction between spherical particles[J]. Physica 4,1937 (10):1058-1072.
    [173]Tabor D. Surface forces and surface interactions[J]. J. Colloid Interface Sci. 1977(58):2-3
    [174]Derjaguin B V. Untersuchungen uber die reibung und adhasion:Ⅳ. Theorie des anhaftens kleiner teilchen Kolloid Z.1934(69):155-164
    [175]Krupp.H. Adv.Colloid Interface Sci 1967.1:111-239.
    [176]Johnson, K. L., Kendall, K., Roberts, A. D. Surface Energy and the Contact of Elastic Solids[J]. Proc. R. Soc. London, Ser. A,1971,324 (1558):301-313
    [177]Derjaguin B V, Muller V M and Toporov Y P. Effect of contact deformations on the adhesion of particles[J]. J. Colloid Interface Sci.1975(53):314-326
    [178]Muller, V. M., Yushchenko, V. S., Derjaguin, B. V. General theoretical consideration of the influence of surface forces on contact deformations and the reciprocal adhesion of elastic spherical particles[J]. Journal of Colloid and Interface Science,1983,92(1): 92-101.
    [179]D. Maugis, H.M. Pollock. Acta Metall.32 (1984):1323.
    [180]C.Curran, K.G.Watkins, J.M.Lee. Shock Pressure Measurements for the Removal of Particles of Sub-micron Dimensions from Silicon Wafers[C].21st International Congress on Applications of Lasers and Electro-Optics, Scottsdale, October 14-17,2002 (ICALEO 2002) ISBN 0-912035-72-2
    [181]Karen A. Reinhardt, Werner Kern. Handbook of silicon wafer cleaning technology (Materials science & process technology series)[M].2d ed. Ed. William Andrew Publishing,2007:718-718
    [182]Bergstr(?)m, L. Adv. Colloid and Interface Sci., (1997)70,125
    [183]C.Curran, K.G.Watkins, J.M.Lee.Effect of wavelength and incident angle in the laser removal of particles from silicon wafers[C].20th International Congress on Applications of Lasers and Electro-Optics, Jacksonville, October 2-5,2001 (ICALEO 2001)
    [184]Kevin Cooper. A fundamental and experimental study into the adhension of micron-scale particles to the films[D]:[ph.D Thesis].Arizona state University,2000
    [185]D. O. Ouma. Modeling of chemical mechanical polishing for dielectric planarization[D]: [PH.D. Thesis]. Massachusetts Institute of Technology, USA,1999
    [186]B. El-Kareh.Fundamentals of Semiconductor Processing Technologies[M]. Boston: Kluwer Academic Publishers,1995.
    [187]H. Nishizawa, Y. Tateyama, T. Saitoh. Ellipsometry characterization of oxidized copper layers for chemical mechanical polishing process[J]. Thin Solid Films,455.456 (2004): 491-494
    [188]J. J. Adler. Interaction of Non-Ideal Surfaces in particulate Systems[D]:[Ph.D. Dissertation]. Gainesville:University of Florida, FL(2001).
    [189]R. Iler. The Chemistry of Silica:Solubility, Polymerization, Colloid and Surface Properties, and Biochemistry. Wiley, New York, NY (1979).
    [190]S. C. Sun, F. L. Yeh and H. Z. Tien, in:S.P. Murarka (Eds.).Advanced Metallization for Devices and Circuits Science, Technology, and Manufacturability,337:139, Materials Research Society Symposium Proceedings, San Francisco, CA (1994).
    [191]C. W. Liu, B. T. Dai and C. F. Yeh, Thin Solid Films,270,607 (1995).
    [192]I.V. Kragelskii. Friction and Wear [M]. Washington:Butterworth,1965
    [193]谢希德,陈栋.固体能带理论[M].上海:复旦大学出版社.1998.
    [194]齐卫宏.金属纳米微粒热力学性能的尺寸效应和形状效应研究[D]:[博士学位论文].长沙: 中南大学.2004.
    [195]Christopher Lyle Borst, Experimental and mechanical models for the chemical-mechanical polishing of low dielectric constant pllymers and organosilicate glasses[D]:[Ph.D. Thesis]. Tory:Renesselaer Polytechnic Institute, december 2000
    [196]Heather Eve Randell. Application of stress from boron doping and other challenges in silicon technology[D]:[Master of Science]. University of Florida,2005
    [197]许越.化学动力学[M].北京:化学工业出版社,2005
    [198]Jung H. Shin, Harry A. Atwater. Activation energy spectrum and structural relaxation dynamics of amorphous silicon[J].Physical review B,1993,.48(9):5946-5972.
    [199]Jiun-Yu Lai. Mechanics, mechanism, and modeling of the chemical mechanical polishing process[D]:[Ph.D. Thesis]. Taiwan:National Taiwan University,1993
    [200]王永光,基于分子量级的化学机械抛光材料去除机理的理论和试验研究[D]:[博士论文].无锡:江南大学,2009,9
    [201]杜宏伟.光电子材料钽酸锂晶片化学机械抛光过程研究[D]:[硕士学位论文].广东:广东工业大学,2004,26-27

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700