集成电路随机缺陷成品率预测技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成电路产业进入纳米工艺时代,由随机缺陷造成的成品率问题越来越严重。巨额的生产成本和更短的上市周期,要求在产品设计阶段就能对成品率做出快速而准确的预测,并能通过改进设计提高成品率。
     本文围绕随机缺陷成品率预测技术,通过如下工作对成品率预测的准确性和有效性进行了改进:
     1.针对化学机械研磨工艺特有的划痕缺陷,引入一种线形缺陷模型。使用圆缺陷模型对示例版图提取得到的平均关键面积是线形缺陷模型的2倍多。通过对粒子缺陷和线形缺陷分开建模并计算对成品率的影响,提高了成品率预测的精度。
     2.针对曼哈顿版图,提出一个新的关键面积数学模型。通过分析证明,得到曼哈顿版图的关键面积是一个关于缺陷尺寸的分段二次函数,并给出了求函数系数和分界点的方法。
     3.结合2中提出的关键面积数学模型,对传统的多边形算子方法进行改进。通过有效选择缺陷尺寸并提取关键面积,得到连续的关键面积值。避免了不必要的关键面积提取,消除了传统方法的积分误差。实验证明改进的多边形算子方法相较于传统方法能够最多提升24.24%的精确度或者减少59.7%的计算成本。
     4.提出了一种动态提取局部关键面积的方法。成品率驱动设计通过局部替换标准单元或者修改金属互连线来优化关键面积,触发了大量的关键面积重计算。动态提取法通过最小化关键面积的重新计算区域和消除区域之间的相关性,减少了重新计算关键面积的时间成本,提高了成品率驱动设计的有效性和可行性。
Since the IC industry has entered the nano-technology era, the yield loss caused by random defect has become a critical issue. Higher production cost and shorter time-to-market call for an accurate and efficient yield prediction before the design gets manufactured.
     Focusing on the random defect yield prediction technology, we have improved the accuracy and efficiency of yield prediction via the following works:
     1. Considering the scratches introduced by CMP (Chemical Mechanical Planarization) process, a linear defect model is introduced. Compared with the linear defect model, the circular model obtains two times larger critical area values for sample layouts. By separately modeling linear defect and particle defect and calculating the yield loss caused by them, the accuracy of yield prediction is improved.
     2. Proposed a new mathematical model of critical area for Manhattan layout. Through strict mathematical analysis, we proved that the critical area of a Manhattan layout is a piecewise quadratic polynomial function of defect size and illustrated how to obtain the coefficients and demarcation points.
     3. Combining the mathematical model developed in2, the traditional shape shifting method is improved. By appropriately selecting the defect size and extracting critical area, a continuous critical area function for all defect sizes is obtained. The improved method avoids unnecessary critical area extraction and eliminates the integration error of traditional shape shifting method. Experiments on industrial layouts show that the improved shape shifting method can improve the accuracy of the average critical area calculation by24.24%or reduce about59.7%computational expense compared with traditional method.
     4. Proposed a dynamic extraction method for local critical area. In design-for-yield flow, critical area is optimized through standard cell replacement or metal wire modification, which causes a lot of re-extraction of critical area. By optimizing the re-extraction area and removing the area dependency, the dynamic extraction method greatly reduces the computational cost and improves the efficiency and feasibility of design-for-yield flow.
引文
[1]摩尔定律[EB/OL].. http://zh.wikipedia.org/wiki/摩尔定律.
    [2]Intel Reinvents Transistors Using New 3-D Structure[J].2011.
    [3]史峥.亚波长光刻条件下集成电路可制造性设计与验证技术研究[J].浙江大学,2005.
    [4]International technology roadmap for semiconductors:Yield enhancement[EB/OL].2011. http://www.itrs.net.
    [5]Barnett T S, Bickford J P, Weger A J. Product yield prediction system and critical area database[J]. Semiconductor Manufacturing, IEEE Transactions on, IEEE,2008,21(3):337-341.
    [6]Kruseman B, Majhi A, Hora C, et al. Systematic defects in deep sub-micron technologies[A]. Test Conference,2004. Proceedings. ITC 2004. International[C].2004:290-299.
    [7]Yeh J-H, Park A. Novel Technique to Identify Systematic and Random Defects during 65 nm and 45nm Process Development for Faster Yield Learning[J]. Advanced Semiconductor Manufacturing Conference,2007. ASMC 2007. IEEE/SEMI,2007:54-57.
    [8]Geisler S, Bauer J, Haak U, et al. Optical Proximity Correction for 0.13 micrometer SiGe:C BiCMOS[J]. Mask and Lithography Conference (EMLC), 2008 24th European,2008:1-6.
    [9]Gupta P, Kahng A B, Park C-H, et al. Wafer Topography-Aware Optical Proximity Correction[J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2006,25(12):2747-2756.
    [10]Yeh C-T, Chen C F, Hung Y-T, et al. A novel two-step poly CMP to improve dishing and erosion effect on self-aligned floating gate process[J]. Semiconductor Manufacturing (ISSM) and e-Manufacturing and Design Collaboration Symposium (eMDC),2011 International Symposium on,2011: 1-10.
    [11]Chang R, Cao Y, Spanos C J. Modeling the electrical effects of metal dishing due to CMP for on-chip interconnect optimization[J]. Electron Devices, IEEE Transactions on,2004,51(10):1577-1583.
    [12]Kwon B-H, Lee J-H, Kim H-J, et al. Dishing and erosion in STI CMP[J]. VLSI and CAD,1999. ICVC'99.6th International Conference on,1999:456-458.
    [13]Spanos C J, Poolla K. Special Issues in Semiconductor Manufacturing[J]. EECS, University of California at Berkeley, Memorandum No. UCB/ERL M,,90.
    [14]Ghaida R S, Doniger K, Zarkesh-ha P. Random yield prediction based on a stochastic layout sensitivity model[J]. IEEE Transactions on Semiconductor Manufacturing,2009,22(3):329-337.
    [15]Berglund C N. A unified yield model incorporating both defect and parametric effects[J]. Semiconductor Manufacturing, IEEE Transactions on, IEEE,1996, 9(3):447-454.
    [16]Liao J-H, Ahsan I, Logan R, et al. Parametric composite limited yield index for functional circuits yield prediction[J]. Advanced Semiconductor Manufacturing Conference (ASMC),2011 22nd Annual IEEE/SEMI,2011:1-4.
    [17]Tarim T B, Ismail M. Functional yield enhancement and statistical design of a low power transconductor[J]. Circuits and Systems,1999. ISCAS'99. Proceedings of the 1999 IEEE International Symposium on,1999,2:436-439 vol.2.
    [18]Ashraf R, Chrzanowska-Jeske M, Narendra S G. Functional Yield Estimation of Carbon Nanotube-Based Logic Gates in the Presence of Defects[J]. Nanotechnology, IEEE Transactions on,2010,9(6):687-700.
    [19]Ghavami B, Raji M, Pedram H, et al. Statistical Functional Yield Estimation and Enhancement of CNFET-Based VLSI Circuits[J]. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,2013,21(5):887-900.
    [20]Gupta P, Papadopoulou E. Yield analysis and optimization[J]. The Handbook of Algorithms for VLSI Physical Design Automation.,.
    [21]Stapper C. Modeling of integrated circuit defect sensitivities[J]. IBM Journal of Research and Development,1983,27(November).
    [22]Stapper C. Modeling of defects in integrated circuit photolithographic patterns[J]. IBM Journal of Research and Development,1984,28(4).
    [23]光学检测未过时,NanoPoint技术应对lxnm工艺检测挑战[EB/OL].2013.http://m.eet-cn.com/ART_8800689320_480401_NT_e7aae3f7_2.HTM?jumpto =view welcomead 1382844030898.
    [24]王俊平,郝跃.考虑缺陷形状分布的IC成品率模型[J].半导体学报,2005,26(5):1054-1058.
    [25]王俊平,郝跃,任春丽.椭圆缺陷轮廓的关键面积计算模型[A].2008年(第十届)中国科协年会[C].2008:33-39.
    [26]王俊平,郝跃,张卓奎,et al.椭圆缺陷轮廓的成品率估计[J].西安电子科技大学学报,万方数据资源系统,2006,33(3):433-437.
    [27]王俊平,郝跃.真实缺陷的矩形模型及其关键面积计算[J].电子学报,2006,11:8.
    [28]Allan G A, Walton A J. Yield prediction by sampling with the EYES tool[J]. Proceedings.1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, IEEE Comput. Soc. Press,1996:39-47.
    [29]Allan G A, Walton A J. Hierarchical critical area extraction with the EYE tool[J]. Proceedings of International Workshop on Defect and Fault Tolerance in VLSI, IEEE Comput. Soc. Press,1995:28-36.
    [30]Papadopoulou E. The Hausdorff Voronoi Diagram of Point Clusters in the Plane[J]. Algorithmica,2004,40(2):63-82.
    [31]Papadopoulou E. Net-aware critical area extraction for opens in VLSI circuits via higher-order Voronoi diagrams[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2011,30(5):704-717.
    [32]Papadopoulou E, Lee D T. Critical Area Computation via Voronoi Diagrams[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1999,18(4):463-474.
    [33]Hofstein S R, Heiman F P. The silicon insulated-gate field-effect transistor [J]. Proceedings of the IEEE,1963,51(9):1190-1202.
    [34]Cunningham J a. The use and evaluation of yield models in integrated circuit manufacturing[J]. IEEE Transactions on Semiconductor Manufacturing,1990, 3(2):60-71.
    [35]Murphy B T. Cost-size optima of monolithic integrated circuits[J]. Proceedings of the IEEE,1964,52(12):1537-1545.
    [36]Seeds R B. Yield and cost analysis of bipolar LSI[J]. Electron Devices Meeting, 1967 International,1967,13:12.
    [37]任杰.集成电路成品率预测技术研究[J].
    [38]Allan G A. A comparison of efficient dot throwing and shape shifting extra material critical area estimation[J]. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems,1998:44-52.
    [39]Allan G A, Walton A J. Efficient Extra Material Critical Area Algorithms [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1999,18(10):1480-1486.
    [40]Jung S, Uom J, Cho W, et al. A study of formation and failure mechanism of CMP scratch induced defects on ILD in a W-damascene interconnect SRAM cell[J].2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual, Ieee,2001 (Ild):42-47.
    [41]Suzuki M. Experimental Investigation of the Mechanism for CMP Micro-Scratch Formation[Jj. Pediatric Nephrology,2007,5(12):383-2057.
    [42]Ollendorf H, Fuller R. Reduction of CMP pscratch induced metal shorts by introduction of a post CMP tungsten plasma clean process in a high volume DRAM manufacturing environment[J]., D:5-8.
    [43]Maly W. Realistic fault modeling for vlsi testing[J]. DAC'87 Proceedings of the 24th ACM/IEEE Design Automation Conference,1987:173-180.
    [44]Walker H, Stephen W. VLASIC:A Catastrophic Fault Yield Simulator for Integrated Circuits[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1986, CAD-5(4):541-556.
    [45]Khare J B, Daniels B J, Campbell D M, et al. Extraction of Defect Characteristics for yield estimation using the double bridge test structure[A]. VLSI Technology, Systems, and Applications,1991. Proceedings of Technical Papers,1991 International Symposium on[C]. IEEE,1991:428-432.
    [46]Hess C, Weiland L H. Harp test structure to electrically determine size distributions of killer defects[J]. IEEE Transactions on Semiconductor Manufacturing,1998,11(2):194-203.
    [47]Hess C, Stashower D, Stine B E, et al. Fast Extraction of Defect Size Distribution Using a Single Layer Short Flow NEST Structure[J].2001,14(4): 330-337.
    [48]Khare J B, Maly W, Thomas M E. Extraction of Defect Size Distributions in an IC Layer Using Test Structure Data[J]. IEEE Transactions on Semiconductor Manufacturing,1994,7(3):354-368.
    [49]Hess C. Modeling of real defect outlines and parameter extraction using a checkerboard test structure to localize defects [J]. Semiconductor Manufacturing, IEEE,1994,7(3):284-292.
    [50]程兆谷,高海军,覃兆宇,等.倾斜入射光散射式硅片表面缺陷检测仪:中国,CN1740782[P].CN:2005.
    [51]KLA-Tencor推出新款缺陷检测与检查系列设备[EB/OL].2013.http://www.mems.me/Equipment_201308/757.html.
    [52]Ooi M-L, Chan C, Lee S-L, et al. Towards identification of latent defects:Yield mining using defect characteristic model and clustering[A]. Advanced Semiconductor Manufacturing Conference,2009. ASMC'09. IEEE/SEMI[C]. IEEE,2009:194-199.
    [53]朱丹丹.集成电路设计中针对随机缺陷的成品率研究[J].大连理工大学,2011.
    [54]Allan G A, Walton A J. efficient critical area estimation for arbitrary defect shapes[J]. Electrical Engineering,1997:20-28.
    [55]Hess C, Weiland L H. Issues on the size and outline of killer defects and their influence on yield modeling[J]. IEEE/SEMI 1996 Advanced Semiconductor Manufacturing Conference and Workshop. Theme-Innovative Approaches to Growth in the Semiconductor Industry. ASMC 96 Proceedings, leee,1996: 423-428.
    [56]Allan G A, Walton A J. Critical Area Extraction for Soft Fault Estimation[J]. IEEE Transactions on Semiconductor Manufacturing,1998,11(1):146-154.
    [57]Konno N. Quantum random walks in one dimension[J]. Quant. Inf. Process, 2002,1(5):345-354.
    [58]盛骤,谢式千,潘承毅.概率论和数理统计[J].北京:高等教育出版社,2008:129-136.
    [59]Luo X, Chen L, Zhu J, et al. A new via chain design method considering confidence level and estimation precision[J]. Journal of Zhejiang University SCIENCE C, Springer,2012,13(9):702-710.
    [60]Rivier M. Random yield simulation applied to physical circuit design[J]. Yield Modelling and Fault Tolerance in VLSI, Bristol and Philadelphia: Adam Hilger, 1988:111-120.
    [61]Ouyang C H, Pleskacz W A, Maly W. Extraction of critical areas for opens in large VLSI circuits[J]. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems,1996:21-29.
    [62]Allan G A. A Comparison of extra material critical area extraction methods[J]. IEEE Advanced Semiconductor Manufacturing Conference,2000:142-151.
    [63]Bentley J, Ottmann T. Algorithms for reporting and counting geometric intersections[J]. IEEE Transactions on Computers,1979, C-28(9):643-647.
    [64]Lauther U. An O (N log N) algorithm for Boolean mask operations[A]. Proceeding 25 years of DAC Papers on Twenty-five years of electronic design automation[C].1988:233-240.
    [65]Pleskacz W A, Ouyang C H, Maly W. A DRC-Based Algorithm for Extraction of Critical Areas for Opens in Large VLSI Circuits[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1999,18(2): 151-162.
    [66]Maynard D N, Hibbeler J D. Measurement and reduction of critical area using Voronoi diagrams[J]. IEEE/SEMI Conference and Workshop on Advanced Semiconductor Manufacturing, leee,2005:243-249.
    [67]Papadopoulou E, Lee D T. The Hausdorff Voronoi diagram of polygonal object: A divide and conquer approach[J]. International Journal of Computational Geometry & Applications, World Scientific,2004,14(06): 421-452.
    [68]The Loo VORONOI Diagram of Segments and VLSI Applications[J].
    [69]Fortune S. A sweepline algorithm for Voronoi diagrams[J]. Algorithmica, Springer-Verlag,1987,2(1-4):153-174.
    [70]Papadopoulou E. Critical Area Computation for Missing Material Defects in VLSI Circuits[J]. IEEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2001,20(5):583-597.
    [71]Chiang C, Kawa J. Design for manufacturability and yield for nano-scale CMOS[M]. Springer,2007:25.
    [72]Dalal A R, Franzon P D, Lorenzetti M J. A layout-driven yield predictor and fault generator for VLSI[J]. IEEE Transactions on Semiconductor Manufacturing,1993,6(1):77-82.
    [73]Luo J, Sinha S, Su Q, et al. An IC manufacturing yield model considering intra-die variations[J]. Proceedings of the 43rd,2006.
    [74]Zhou C, Ross R, Vickery C, et al. Yield prediction using critical area analysis with inline defect data[A]. Advanced Semiconductor Manufacturing 2002 IEEE/SEMI Conference and Workshop[C]. IEEE,2002:82-86.
    [75]Rizzo O, Melzner H. Concurrent Wire Spreading, Widening, and Filling[J]. Design Automation Conference,2007. DAC'07.44th ACM/IEEE,2007: 350-353.
    [76]Hama T, Etoh H. Curvilinear detailed routing with simultaneous wire-spreading and wire-fattening[J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,1999,18(11):1646-1653.
    [77]Xi J G. Improving yield in RTL-to-GDSII flows[EB/OL].2005. http://www.design-reuse.com/articles/10850/improving-yield-in-rtl-to-gdsii-flo ws.html.
    [78]Lee K-Y, Koh C-K, Wang T-C, et al. Optimal post-routing redundant via insertion[A]. Proceedings of the 2008 international symposium on Physical design[C]. ACM,2008:111-117.
    [79]Lee K-Y, Wang T-C, Chao K-Y. Post-routing redundant via insertion and line end extension with via density consideration[A]. Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design[C]. ACM,2006: 633-640.
    [80]Lee K-Y, Wang T-C. Post-routing redundant via insertion for yield/reliability improvement A]. Proceedings of the 2006 Asia and South Pacific Design Automation Conference[C]. IEEE Press,2006:303-308.
    [81]Lee K-Y, Koh C-K, Wang T-C, et al. Fast and optimal redundant via insertion[J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, IEEE,2008,27(12):2197-2208.
    [82]Luo J, Dornfeld D. Integrated Modeling Of Chemical Mechanical Planarization For Sub-Micron IC Fabrication[M]. Springer,2004.
    [83]Cheng X. Optimizing Post Cleaning of Tungsten Contact CMP to Improve the Yield of Logic Products with Copper Interconnect[J]. Materials Chemistry, 2006,2(c):2-A.
    [84]Luo J, Dornfeld D A. Integrated Modeling of Chemical Mechanical Planarization (CMP) for Sub-Micron Integrated Circuit Fabricationf[M]. Springer,2004.
    [85]Park S, Kim S, Seo Y. REDUCTION OF MICRO-DEFECTS IN THE INTER-METAL DIELECTRICS (IMD) CMEMICAL MECHANICAL POLISHING (CMP) FOR ULSI APPLICATIONS.pdf[Jj. Communication, (Imd):63-66.
    [86]ZHU J, LUO X, CHEN L, et al. Scratch-concerned yield modeling for IC manufacturing involved with CMP process[J]. Journal of Zhejiang University SCIENCE C,2012.
    [87]Wang J, Hao Y, Liu H, et al. Yield modeling based on circular defect size and a real defect rectangular degree[A]. Solid-State and Integrated Circuits Technology,2004. Proceedings.7th International Conference on[C]. IEEE, 2004,2:1104-1107.
    [88]Sinica A E. Rectangular Defect Mo del and Critical Area Co mp utatio n of Real Defect Outline s in VL SI[J]. Acta Electronica,2006(2003).
    [89]Hess C, Strole A. Modeling of real defect outlines for defect size distribution and yield prediction[A]. Microelectronic Test Structures,1993. ICMTS 1993. Proceedings of the 1993 International Conference on[C]. IEEE,1993:75-81.
    [90]Huang J, Chen H, Wu J, et al. Investigation of CMP micro-scratch in the fabrication of sub-quarter micron VLSI circuits[J]. Proceedings of CMP-MIC Conference,1999:77-79.
    [91]Skumanich A. CMP process development based on rapid automatic defect classification[J]. Proceedings of SPIE,1999,3743(May).
    [92]叶翼,朱椒娇,张波,等.一种改进的考虑划痕的关键面积计算模型和方法[J].电路与系统学报,2013,2(18).
    [93]David H A, Gunnink J L. The Paired t Test Under Artificial Pairing[J]. The American Statistician, Taylor & Francis,1997,51(1):9-12.
    [94]Nag P K, Maly W. Hierarchical Extraction of Critical Area for Shorts in very large ICs[[J]. IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems,1995:19-27.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700