ULSI片内互连线寄生参数提取及应用
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
半导体工艺水平的飞速提高使当今集成电路的发展进入超深亚微米(VDSM)阶段。随着系统芯片(SOC)的出现,片内互连线问题已经成为目前ULSI设计中最具挑战性的课题之一。互连的传输线效应成为限制系统整体性能的“瓶颈”。对于高速ULSI设计,传统EDA设计中采用的基于集总概念的参数提取算法已经失去准确性,寻求互新型电磁建模和参数提取方法具有至关重要的意义。它是一系列后续工作的基础。本文以片内互连线的关键长线为主要研究对象,侧重寄生参数提取方法的研究,以及基于互连模型分析各种寄生效应。研究方法从精度和速度两方面着手。文中研究内容可分为两部分:
     ■ 互连线寄生参数提取部分
     1) 基于ULSI片内互连电感、电容参数提取问题的内容和特点,引入互连线不同相对几何位置的寄生参数解析计算公式,得到一类快速寄生电感、电容定界方法。
     2) 提出一类基于牛顿法BP网络的互连线寄生电感提取方法;根据ULSI片上互连线曼哈顿结构特点,将ANN方法应用于多导体系统环电感分段计算,提出一种快速限制回路寄生电感提取方法。
     3) 利用Csplat模拟互连线光刻,分析光刻中OPE对互连线版图的影响,针对版图畸变现象,采用二维BEM对电容参数作精确的实验性建模;定义有效长度概念,提出基于人工神经网络(ANN)实现多级导体系统寄生电容的快速提取方案。
     ■ 基于互连线RC、RLC模型的时序分析
     1) 针对ULSI设计中常用的晶体管驱动的单条全局互连线,以一阶或二阶模型近似其末端电压,得到时延和过冲分析的简化解析模型;基于两条电容性耦合互连线基本微分方程,推导出低阶近似串扰解析模型,并推广至多条电容性耦合互连线情况。
     2) 基于电源树的普通RLC模型与π型RLC模型,利用一类切换事件
    
     驱动的节点重组及参数重编机制,将非线性噪声传播过程简化为线
     性系统,实现PST噪声分析的时域解析方法,以提高模拟效率。
With fast advancing of semiconductor design and manufacture techniques, today's ultra-large-scale-integrated-circuits (ULSI) technology are made by very deep semimicrometer (VDSM) process. For a complicated SOC, on-chip interconnect is one of the most challenging problems. The parasitic effects of interconnects now are the bottleneck of the performance of the entire circuit system. The traditional methods of parasitic parameter extraction can't be as accurate as what they did any longer. Electromagnetic modeling and parameter extraction start playing a much more important role in IC design, and it is the foundation of the later works.
    This dissertation deals mainly with long interconnects in ULSI, and focuses on parasitic parameter extraction and its application in time domain analysis. It can be divided into two parts:
    Part 1: parasitic parameter extraction for on-chip interconnects
    1) Based on analytical parasitic inductance and capacitance models of on-chip interconnects, which are arranged respectively according to their relative position, a fast method to determine the bounds of parasitic inductance and capacitance is presented.
    2) A new inductance extraction method is presented based on the Newton-BP neural networks. At the same time, the neural network is introduced to calculate partial inductance of the multilevel interconnects; a modified-return-limited-inductance-extraction (MRLIE) method is discussed.
    3) Csplat is used to simulate the optical proximity effect (OPE) which could affect the interconnect. Artificial neural networks and a new concept -efficient length, is introduced into the capacitance extraction procedure for multilevel interconnect system.
    Part 2: time domain analysis based on RC/ RLC model of on-chip interconnects
    1) According to the time-domain analysis theory, delay, overshoot and crosstalk which appear on long interconnects are modeled analytically using one or two first moments of the nonlinear solution.
    
    
    2) Based on RLC model and n -type RLC model of the power supply trees (PST), a simultaneous switching noise (SSN) estimation method is presented. Switching-event-driven node-regroup and parameter reordering is used to turn the nonlinear noise process into linear system.
引文
[1] 魏少军.新世纪电信网络的发展与SOC设计方法学.中国集成电路,No.31,pp.22—36,2001.
    [2] Zeizoff P M. Circuit, MOSFET, and front end process integration trends and challenges for the 180 nm and below technology generations: an International Technology Roadmap for Semiconductors perspective. Proceedings. 6th International Conference on Solid-State and Integrated-Circuit Technology. pp.23-28. vol. 1. 2001.
    [3] Allan A. Edenfeld, D. Joyner, W.H., et al. 2001 technology roadmap for semiconductors. Computer. pp.42-53. 2002.
    [4] Gargini P. The International Technology Roadmap for Semiconductors (ITRS): "Past, present and future". 22nd Annual GaAs IC Symposium. pp.3-5.2000.
    [5] 李志坚,周润德.ULSI器件、电路与系统.科学出版社.2000.
    [6] 陈宏毅.信息时代的微电子科学技术.集成电路设计,No.1,PP.1-14,2001
    [7] Deutsch A. On chip wiring design challenges for gigahertz operation. In Proc. IEEE, Vol.89, No.4, pp. 529-553,2001.
    [8] Mafferzzoni P. and Branbilla A. Modeling delay and crosstalk in VLSI interconnections for electrical simulation. Electronics letters,Vol.36, No.10, pp.862-864, 2000.
    [9] Zhang Q. J., Wang F. and Nakhla M.S. et al. Signal integrity optimization of high speed VLSI packages and interconnects. In 48th IEEE electronic components and technology conference, pp. 1073-1076,1998.
    [10] Deutsch A. Electrical characterics of interconnections for high performance systems. In Proc. IEEE, No.2, pp.315-355,1998.
    [11] Tomita Y. Morifuji, T. and Tago, M. et al. Advanced packaging technologies on 3D stacked LSI utilizing the mocro interconnections and the layered microthin encapsulation. In proc. 51st electronic components and technology conference, pp.353-360, 2001.
    [12] 王效平,刘捷臣.集成电路封装技术的现状和未来.微处理机,No.4,pp.1-8,1996
    [13] 小原洋一,赫福申译.半导体封装技术的发展趋势.集成电路设计,No.1,pp.107-118,1999
    [14] 李枚.微电子封装技术的发展与展望.半导体杂志.Vol.25,No.2,pp.32-35.2000
    [15] Lin X W and Pramanik D. Future interconnect technologies and copper metallization. Solid State Technology, Vol.41, No. 10, pp.63-79, 1998
    [16] Lee K. on chip interconnects--gigahertz and beyond. Solid State Technology, Vol.41, No.9, pp.85-89, 1998
    [17] Korczynski E. Interconnect: the new frontier. Solid State Technology, Vol.41, No.9, pp.83-84, 1998.
    
    
    [18] Shieh B, Saraswat K and Deal M, et al. Air gaps lower k of interconnect dielectrics. Solid State Technology, Vol.42, No.2, pp.51-58, 1999
    [19] Deutsch A, kopcsay G V, and Surovic C W, et al. Modeling and characterization of long on-chip interconnections for high performance microprocessors. IBM J. Res. Dev., Vol.39, No.5, pp.547-567. 1995.
    [20] Deutsch A, kopcsay G V, and Restle P J, et al. When are transmission line effects important for on-chip interconnections. IEEE Trans. MTT, Vol.45, No. 10, pp.1836-1846. 1997.
    [21] Qi X, Wang G, Yu Z and Dutton R W. On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation[J]. IEEE CICC'00, 2000; 250-254.
    [22] Coatache G I. Finite element mthod applied to the skin-effect problems in strip transmission lines. IEEE Trans. MIT. Vol.35, No. 11, pp. 1009-1013, 1987.
    [23] Jin J. the finite element method in electromagnetics. New York: John Wiley & Sons, Inc., 1993.
    [24] Zemanian A H. A finite-difference procedure for the exterior problem inherent in capacitance computation for VLSI interconnects. IEEE Trans. Educ. Vol.35, No.7, pp.985-992, 1988.
    [25] Zemanian A H and Tewarson R P. Three dimensional xapacitance computations for VLSI/ULSI interconnections. IEEE Trans. CAD. Vol. 8, pp.1319-1326, 1989.
    [26] Yee K S. Numerical solution of initial boundary value problems involving Maxwell's equations in isotropic media. IEEE Trans. Antennas Propagation, Vol.14, pp.302-307, 1996.
    [27] Taflove A. Review of the formulation and applications of the finite-difference time-domain method for numerical modeling of electromagnetic wave interactions with arbitrary structures. Wave Motion, Vol. 10, pp.547-582, 1988.
    [28] Kunz K and Luebbers R J. The finite-difference time-domain method for electromagnetics. CRC press, Inc., 1993
    [29] Cao W, Harrington R F and Mantz J P et al. Multi conductor transmission lines in multilayered dielectric media. IEEE Trans. MTT, Vol.32, pp.439-450, 1984.
    [30] Matthaei G L, Chinn G C and Plott C H, et al. A simplified means for computation of interconnects distributed capacitance and inductance. IEEE Trans. CAD. Vol.11, pp.513-524, 1992.
    [31] Pan G W, Wang G F and Gilbert B K. Edge effect enforced boundary element analysis of multilayered transmission lines. IEEE Trans. Circuit & Systems, Vol.39, No.11, pp.946-954, 1992.
    [32] 李忠元.电磁场边界元素法.北京工业学院出版社,1987
    [33] Ruehli A E. Inductance calculation in a complex integrated circuit environment. IBM Journal of Research and Development, Vol. 16, No.5, pp. 470-481, 1972
    
    
    [34] Ruehli A E. Equivalent circuit models for three-dimensional multiconductor systems. IEEE Trans. MTT, 1974; MTT-22(3): 216-220
    [35] Mei K K, Pous R and Chen Z, et al. Measured equations of invariance: a new concept in field computations. IEEE Trans. Antennas Propagation, Vol.42, pp.320-327, 1994.
    [36] Hong W, Sun W and Dai W W. Fast parameter extraction of multiplayer and multiconductor interconnects using geometry independent measured equatin of invariance. In Proc. IEEE Multi-Chip Module Conference. pp.105-110, 1996.
    [37] Pregla R and Pascher W. Diagonalization of difference operators and system matrices in the method of lines. IEEE Microwave and Guided Wave Letters. Vol.2, No.2, pp.52-54, 1992.
    [38] Medina F and Horno M. Capacitance and inductance matrices for multistrip structures in multilayered anisotropic dielectrics. IEEE Trans. MTT. Vol.35, No.11, pp.1002-1008, 1987.
    [39] Zhu Z, Ji H and Hong W. An efficient algorithm for the parameter extraction of 3D interconnect structure in the VLSI circuits: Domain-decomposition method. IEEE Trans. MTT. Vol.45, No.5, pp.827-836, 1997.
    [40] Hong W, Sun W and Zhu Z, et al. A novel dimension reduction technique for capacitance extraction of VLSI interconnects. ICCAD. pp.381-386, 1996.
    [41] Javid A.应用 Calibre 实现高性能深亚微米微处理器的物理验证.集成电路设计.No.3,pp.52-56,1998.
    [42] Cheng C K. Interconnect analysis and synthesis. John Wiley & Sons Inc. 2000.
    [43] Moll F, Roca M and Rubio A. Inductance in VLSI interconnection modeling. IEE Proc. Circuits Devices and Systems. Vol. 145, No.3, pp. 175-179,1998
    [44] Y. I. Ismaill, E. G. Friedman and J. L. Neves. "Figures of merit to characterize the importance of on-chip inductance". IEEE Trans. VLSI. Vol.7, No.4, pp.442-449, 1999.
    [45] Krauter B and Pileggi L. Generating sparse partial inductance matrixes with guaranteed stability[J]. IEEE Trans. on ICCAD. 1995; 14(1): 45-52.
    [46] Beattie M and Pileggi L. IC analysis including extracted inductance models. DAC. 1999. 915-920.
    [47] Pillage L T and Rohrer R A. Asymptotic waveform evaluation for timing analysis. IEEE Trans. on CAD. 1990; 9(3): 352-366
    [48] Feldmann P. and Freund R W. Efficient linear circuit analysis by Pade approximation via the Lanczos process. IEEE Trans. on CAD. 1995; 14(5): 639-649.
    [49] Feldmann P and Freund R W. Reduced-order modeling of large linear subcircuits via a block Lanczos algorithm[A]. DAC[C]. 1995.
    [50] Freund R W and Feldmann P. Reduced-order modeling of large passive linear circuits by means of the SyPVL algorithm. ICCAD. 1996. 280-287
    [51] Freund R W and Feldmann P. The SyMPVL algorithm and its applications to interconnect simulation. SISPAD. 1997. 113-116.
    
    
    [52] Odabasioglu A, Celik M and Pileggi L. PRIMA: Passive reduced-order interconnect macro modeling algorithm [A]. ICCAD[C]. 1997. 58-65.
    [53] Bailey D and Benschneider B. Clocking design and analysis for a 600-MHz Alpha microprocessor. IEEE J. Solid-state Circuits, Vol.33, No. 11, 1998
    [54] Beattie M and Pileggi L. IC analysis including extracted inductance models. DAC. 915-920. 1999.
    [55] He L, Chang N, Lin S, et al. An efficient inductance modeling for on-chip interconnects. IEEE CICC. 457-460. 1999.
    [56] Grover F. Inductance Calculation: Working Formulas and Tables. Dover, New York. 1962.
    [57] Rosa E B. The self and mutual inductance of linear conductors. Bulletin of the National Bureau of Standards, 1908; 4: 301-344.
    [58] Kamon M, Tsuk M J and White J K. FASTHENRY: A multipole-accelerated 3-D inductance extraction program[J]. IEEE Trans. on MTT, 1994; 42(9): 216-220
    [59] He Z, Celik M and Pileggi L. SPIE: Sparse partial inductance extraction[A]. IEEE DAC. 1997. 137-140.
    [60] Beattie M,,Krauter B and Alatan L et al. Equipotential shells for efficient inductance extraction[J]. IEEE ICCAD. 2001.20(1):70-79.
    [61] Shepard K L, Tian Z. Return-limited inductance: A practical approach to on-chip inductance extraction. IEEE Trans. ICCAD, 2000; 19(4): 425-435.
    [62] Devgan A, Ji H and Dai W. How to efficiently capture on-chip inductance effects:introducing a new circuit element K.
    [63] Djordjevic A R, Sarkar T K and Rao S M. Analysis of finite conductivity cylindrical conductors excited by axially-independent TM electromagnetic field[J]. IEEE Trans. on MTT.1985; vol. MTT-33:960-966
    [64] Wu R B and Yang J C. boundary integral equation formulation of skin effect problem in multicoductor transmission lines[J]. IEEE Trans. on Magnetics. 1989; vol. Mag-25:3013-3015
    [65] Tusk M J and Kong J A. A hybrid method for the calculation of the resistance and inductance of transmission lines with arbitrary cross section[J]. Microelectronic System Interconnects. 1994.65-74
    [66] Wang J, Tausch J and White J. A wide frequency range surface integral formulation for 3-D RLC extraction[A]. IEEE/ACM ICCAD[C]. 1999. 453-457.
    [67] Husain A. Models for interconnect capacitance extraction. IEEE, pp. 167-172, 2001.
    [68] Wong S C, Lee G Y and Ma D J. Modeling of interconnect capacitance, delay, and crosstalk in VLSI. IEEE on Semiconductor Manufacturing, Vol. 13, No. 1, 108-111,2000.
    [69] Nabors K and White J. Fastcap: a multipole accelerated 3-D capacitance extraction program. IEEE Trans. CAD. Vol. 10, No. 11, 1447-1459, 1991.
    [70] Terasava T. Subwavelength lithography (PSM, OPC). Proc. ASP-DAC 2000. 295-300.2000.
    
    
    [71] Liu Y et al. Computer-aided phase shift mask design with reduced complexity. IEEE Trans. Semiconductor Manufacturing. Vol.9, No.2, 170-181, 1996.
    [72] 石瑞英,郭永康.光学邻近校正改善亚微米光刻图形质量.Semiconductor Technology. Vol.26,No.3,20-26,2001.
    [73] 陈志锦,史峥,王国雄等,一种快速光刻模拟中二维成像轮廓提取的新方法,半导体学报,pp.766-771,2002
    [74] Sakurai T. Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs. IEEE Trans. Electron Devices. Vol.40, No.1, 118-124,1993.
    [75] Zheng L R and Tenhunnen H. Scaling analysis of interconnectivity and crosstalk in VLSI circuits. IEEE, pp. 124-127, 1998.
    [76] Sakurai T. Approximation of wiring delay in MOSFET LSI. IEEE J. Solid-State Circuits. Vol. 18, No.4, 418-426,1983.
    [77] Jiang Y M, Cheng K T and Deng A C. Estimation of maximum power supply noise for deep submicron designs[A]. ISLPED[C]. 1998.233-238.
    [78] Jiang Y M, Cheng K T and Krstic A. Estimation of maximum power and instantaneous current using genetic algorithm[A]. CICC[C]. 1997.135-138.
    [79] Hsiao M S, Rudnick E M and Patel J H. K2: An estimator for peak sustainable power of VLSI circuits[A]. ISLPED[C]. 1997. 178-183.
    [80] Zhao S, Roy K and Koh C K. Estimation of inductive and resistive switching noise on power supply networks in deep submicron CMOS circuits[A]. ICCD[C]. 2000.65-72.
    [81] Zhao S, Roy K and Koh C K. Frequency domain analysis of switching noise on power supply network. ICCD. pp.487-492, 2000

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700