二维阵列型可重构计算设计空间搜索方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
可重构计算作为一种新的高性能计算解决方案,它具有较高的性能和灵活性,是通用处理器和ASIC的折衷。由于具有较高的灵活性,可重构计算的设计空间巨大,为某个特定的应用领域设计一个优化的可重构计算体系是一项十分复杂的任务。在可重构计算体系设计初期,搜索可重构计算体系的设计空间,选择一个优化的可重构计算体系是一项十分重要的研究工作。本文研究了二维阵列型可重构计算的设计空间搜索方法。
     为了使设计空间搜索方法不依赖于任何具体的可重构计算体系,本文定义了描述可重构计算体系的结构特征参数,并提出了可重构计算体系的层次型参数模型。层次型参数模型既能反映可重构计算体系的结构特征,又能反映可重构计算体系的层次性。层次型参数模型具有良好的灵活性,能够描述不同类型的二维阵列型可重构计算体系。由于在高层次对可重构计算体系的结构特征进行数学抽象,层次型参数模型能够提高可重构计算体系的设计空间搜索速度。
     在可重构计算设计空间搜索过程中,应用领域中的每个算法对可重构计算体系的互连资源提出了不同的需求。论文研究了可重构计算体系的互连资源估计问题。在建立应用算法网表布线随机模型的基础上,提出了基于随机模型的可重构计算体系的互连资源估计方法,从而能够估计应用领域中的各个算法在可重构计算体系上实现时所需要的各种互连资源的数目,确定了可重构计算体系的互连资源。
     可重构功能处理单元阵列是可重构计算体系的核心部件。论文研究了可重构功能处理单元阵列的设计空间,推导了可重构功能处理单元阵列的面积、性能和功耗的估计公式。通过分析应用算法的变换方法、应用算法在可重构计算体系上的执行模型以及性能估计方法,给出了性能约束的可重构功能处理单元阵列设计空间搜索方法,从而使可重构计算体系的设计空间搜索方法能够跨越静态可重构计算体系和动态可重构计算体系,并能够在满足应用算法性能约束的前提下,为应用领域中的算法搜索一个最优的可重构功能处理单元阵列。
     存储器结构是可重构计算体系的重要组成部分,其影响应用算法在可重构计算体系上的执行时间。论文讨论了存储器结构的设计空间搜索方法,研究了存储器结构中局部数据存储器容量、配置上下文存储器容量和局部数据存储器与可重构功能处理单元阵列之间的接口带宽,推导了局部数据存储器容量和配置上下文存储器容量的最大值以及局部数据存储器与可重构功能处理单元阵列之间接口带宽的最大值,最后提出了面积约束的存储器结构设计空间搜索方法,在搜索域选择性能最优的存储器结构。
Reconfigurable computing is a new paradigm for current high performance computing, which promises an intermediate trade-off between Application Specific Integrated Circuits (ASICs) and general purpose microprocessors. It provides powerful flexibility and performance. Because of its flexibility, reconfigurable computing architecture (RCA) has a vast design space, and it is a hard task to develop an optimized RCA for application specific domain. It exists very high value to search the design space of RCA and guide its design at an early stage. The dissertation emphasizes on the method for two dimension array based RCA design space exploration.In order to make design space exploration method independent on any concrete RCA, the dissertation defines a set of architectural parameters, which characterize different RCA. Based on it, hierarchical parameter model for RCA is presented, which not only characterizes RCA, but also describes the architecture's hierarchy. It has powerful flexibility, and can describe different two dimension array based RCA. Because mathematical abstraction for RCA is at high level, hierarchical parameter model accelerates RCA design space exploration.During design space exploration, each algorithm makes a different demand on connection resources of RCA. Connection resources estimation for RCA is discussed in the dissertation. By establishing stochastic model for application algorithm net routing, a method for estimating RCA connection resource based on stochastic model is given. It gives the number of each connection resources for application algorithm which is implemented on RCA, and the number of connection resources of RCA is determined.Reconfigurable processing element array is a key component in RCA. With analyzing reconfigurable array design space, the dissertation explains the algorithm to estimate area, time and power for reconfigurable array. By studying transformation, executing model and executing time of application algorithm, an algorithm with performance constraint for reconfigurable processing element array design space exploration is proposed. The algorithm produces the best reconfigurable processing element array for application domain, which meets the performance expectations of application algorithm.Memory architecture is an important component in RCA. Finally, the method for memory architecture design space exploration in RCA is discussed. The dissertation
    gives the maximum for local data memory size, configuration context memory size and the bandwidth of interface between local data memory and reconfigurable array. An algorithm with area constraint for memory architecture design space exploration is proposed, which acquires memory architecture with the best performance for application domain.
引文
[1] Rose J A, El Gamal A, Sangiovannt-Vincenteli A. Architecture of field programmable gate arrays. Proceedings of IEEE, 1993, 81(7): 1013—1029.
    [2] Hartenstein R, Hofmann T H, Nageldinger U. Design-space exploration of low power coarse grained reconfigurable datapath array architectures. Proc of Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, 10th International Workshop. Gottingen, Germany, 2000, 118-128.
    [3] Edenfeld D, Kahng A b, et al. 2003 Technology Roadmap for Semiconductors, IEEE Computer, 2004, 37(1): 47-56.
    [4] Becker, F. Configurable systems-on-chip: commercial and academic approaches., 2002. 9th International Conference on Electronics, Circuits and Systems. 2: 809-812
    [5] Bishop P;Sullivan, C A. Reconfigurable future, Proceedings. of IEEE International Conference on Field-Programmable Technology, 2003: 2-7.
    [6] Hartenstein R. Are we really ready for the breakthrough? Parallel and Distributed Processing Symposium, 2003.
    [7] Gupta B;Borgatti M. Different approaches to add reconfigurability in a SoC architecture;Design, Automation and Test in Europe Conference and Exhibition, 2003.
    [8] Soares R.;Silva I.S;Azevedo A. When reconfigurable architecture meets network-on-chip Integrated Circuits and Systems Design, 2004: 216-221.
    [9] Wallner, S. Design methodology of a configurable system-on-chip architecture. FCCM 2004. 283-284
    [10] DeHon, A.;Wawrzynek, J. Reconfigurable computing: what, why, and implications for design automation, Proceedings of Design Automation Conference, 1999: 610-615.
    [11] Hartenstein R. Trends in reconfigurable logic and reconfigurable computing, International Conference on Electronics, Circuits and Systems, 2002, 2: 801-808.
    [12] Hartenstein R. Reconfigurable computing: a new business model-and its impact on SoC design, Proceedings of Euromicro Symposium on Digital Systems, Design, 2001: 103-110.
    [13] Bossuet L, Gogniat G, Philippe J L. Fast design space exploration method for reconfigurable architectures. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms. Las Vegas: CSREA, 2003.
    [14] Hartenstein R. A decade of reconfigurable computing: a visionary retrospective. Proceedings of Conference on Design, Automation and Test in Europe. Munich, Germany, 2001: 642—649.
    [15] 熊华,沈海斌,季爱明等。面向密码算法的异步可重构结构设计,微电子学与计算机 2005,22(3):170-174。
    [16] Chen, D. C.;Rabaey, J. M. A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths, Solid-State Circuits, 27: 1895-1904
    [17] Sutton, R. A.;Srini, V. P.;Rabaey, J. M. A multiprocessor DSP system using PADDI-2, Design Automation Conference, 1998.: 62-65
    [18] Cherepacha D, Lewis D: A Datapath Oriented Architecture for FPGAs, Proceedings of FPGA'94, Berkeley, CA, USA, Feb. 13-15, 1994.
    [19] Hartenstein R, Herz M.,. Hoffmann T, et al. KressArray Xplorer: A New CAD Environment to Optimize Reconfigurable Datapath Array Architectures;Asia and South Pacific Design Automation Conference, ASPDAC, Yokohama, Japan, Jan. 25-28, 2000.
    [20] Ebeling C, Cronquist D, Franklin P. RaPiD-Reconfigurable Pipelined Datapath;Proceedings of International Workshop on Field Programmable Logic and Applications, 1996.
    [21] Mirsky, E.;DeHon, A. MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources, FPGAs for Custom Computing Machines, 1996: 157-166
    [22] Hartenstein R, Becket J, Herz M, etal. A Parallelizing Programming Environment for Embedded Xputer-based Accelerators;High Performance Computing Symposium '96, Ottawa, Canada, June 1996.
    [23] Waingold E, Taylor M, Srikrishna D, etal. Baring It All to Software: Raw Machines;IEEE Computer, September 1997.
    [24] Goldstein S. C;Schmit H.;Moe M., etal. PipeRench: a coprocessor for streaming multimedia acceleration, Proceedings of the 26th International Symposium on Computer Architecture, 1999: 28-39
    [25] Goldstein S. C, Schmit H, Budiu M, et al. PipeRench: a reconfigurable architecture and compiler, Computer, 2000, 33(4): 70-77.
    [26] Lu H, Singh M., Lee N, etal. The MorphoSys: Parallel Reconfigurable System;Proceedings of Euro-Par'99
    [27] Marshall A, Stansfield T, Kostarnov I., etal. A Reconfigurable Arithmetic Array for Multimedia Applications, Proceedings of FPGA'99, Monterey, CA, USA, Feb. 21-23, ACM Press, 1999.
    [28] Becker J, Glesner M. IP-based Application Mapping Techniques for Dynamically Reconfigurable Hardware Architectures, Proceedings of Second International Workshop on Engineering of Reconfigurable Hardware/Software Objects.
    [29] Zhang H, Wan M, V George V, etal. Interconnect Architecture Exploration for Low-Energy Reconfigurable Single-Chip DSPs, Proceedings of IEEE Computer Society Workshop On VLSI, 1999: 2-8.
    [30] Knapp D. W.;Parker, A. C. The ADAM design planning engine, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1991, 10(7): 829-846
    [31] Lopez J. C.;Jacome M. F.;Director S. W. Design assistance for CAD frameworks, Design Automation Conference, 1992: 494-499
    [32] Moritz C. A;Donald Yeung;Agarwal. A. SimpleFit: a framework for analyzing design trade-offs in Raw architectures, IEEE Transactions on Parallel and Distributed Systems, 2001, 12(7): 730-742
    [33] Bossuet, L.;Gogniat, G.;Philippe, J. L. Generic Design Space Exploration for Reconfigurable Architectures, Parallel and Distributed Processing Symposium, 2005: 1631-1637
    [34] Mei B.;Lambrechts A.;Mignolet J. Y.;etal. Architecture exploration for a reconfigurable architecture template, Design & Test of Computers, 2005, 22(2): 90-101
    [35] Hartenstein R, Herz M, Hofmann T, et al. KressArray Xplorer: a new CAD environment to optimize reconfigurable datapath array architectures. Proceedings of the ASP-DAC 2000. Yokohama, Japan, 2000: 163—168.
    [36] Cadambi S, Weener J, Goldstein S C, etal. Managing Pipeline-Reconfigurable FPGAs, Proceedings ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998.
    [37] Budiu M, Goldstein S C. Fast Compilation for Pipelined Reconfigurable Fabrics, FPGA 1999
    [38] Hartenstein R, Becker J, Herz M, etal. Co-Design and High Performance Computing: Scenes and Crisis;Proceedings of Reconfigurable Technology for Rapid Product Development & Computing, 1996.
    [39] Hartenstein R, Herz M,. Hoffmann T, etal. Mapping Applications onto reconfigurable KressArrays;Proceedings of International Workshop on Field Programmable Logic and Applications, 1999.
    [40] Hartenstein R, Herz M,. Hoffmann T, etal. Using the KressArray for Reconfigurable Computing;Proceedings of Conference on Configurable Computing: Technology and Applications, 1998.
    [41] Herz M., Hoffmann T, Nageldinger U., et al. Interfacing the MoM-PDA to an Internet-based development system, Proceedings of the 32nd Annual Hawaii International Conference on System Sciences, 1999.
    [42] Hartenstein, R. Coarse grain reconfigurable architectures, Proceedings of the ASP-DAC 2001, 2001: 564-569
    [43] Singh, H.;Ming-Hau Lee, Guangming Lu, etal. MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications, IEEE Transactions on Computers. 2000, 49(5): 465-481.
    [44] Guangming Lu;Singh, H, Ming-Hau Lee;etal. The MorphoSys dynamically reconfigurable system-on-chip, Evolvable Hardware, Proceedings of the First NASA/DoD Workshop,1999: 152-160.
    [45] Singh H.;Ming-Hau Lee;Guangming Lu;etal. MorphoSys: a reconfigurable architecture for multimedia applications, Proceedings. of Integrated Circuit Design, 1998: 134-139.
    [46] Becker J, Glesner M,. Alsolaim A, etal. Fast Communication Mechanisms in Coarse-grained Dynamically Reconfigurable Array Architectures, Proceedings of Second International Workshop on Engineering of Reconfigurable Hardware/Software Objects, 2000.
    [47] Becker J, Glesner M,. Alsolaim A, etal. Architecture and Application of a Dynamically Reconfigurable Hardware Array for Future Mobile Communication Systems;Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'00), Napa, 2000.
    [48] Nageldinger U.. Coarse-Grained Reconfigurable Architecture Design Space exploration, Ph. D. Thesis, University of Kaiserlautern, Germany, June 2001.
    [49] Christie P., Stroobandt D. The interpretation and application of Rent's rule, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2000, 8(6): 639-648.
    [50] Taylor M. Design Decisions in the Implementation of a Raw Architecture Workstation;Master Thesis, Massachusetts Institute of Technology, Cambridge, MA, USA, Sept. 1999.
    [51] Moritz C. A,. Yeung D, A. Agarwal. Exploring Optimal Cost-Performance designs for Raw Microprocessors, FCCM'98, Napa, CA, USA, April 1998.
    [52] Bossuet L, Burleson W,. Gogniat G, etal.. Targeting Tiled Architectures in Design Exploration. RAW'03, Nice, France, April, 2003.
    [53] Moullec Y Le,. Diguet J. P,. Philippe J. L. Design Trotter: a Multimedia Embedded Systems Design Space Exploration Tool. In IEEE MMSP'02, Virgin Island, USA, 9-11 December, 2002.
    [54] Bilavarn S.;Gogniat G.;Philippe J. L, etal. Fast prototyping of reconfigurable architectures from a C program, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003, 5: 589-592.
    [55] Bossuet L,. Gogniat G,. Diguet J. P. A Modeling Method for Reconfigurable Architecture. IWSOC'02, Banff, Canada, July, 2002.
    [56] Bondalapati, K.;Prasanna, V. K. Reconfigurable computing systems, Proceedings of the IEEE 2002, 90(7): 1201-1217
    [57] Bondalapati, K. Modeling and Mapping for Dynamically Reconfigurable Hybrid Architecture, PhD thesis, University of Southern California,, USA, 2001.
    [58] 严晓浪,季爱明,沈海斌。性能优化的现场可编程门阵列快速编译方法,浙江大学学报(工学版),2005,10
    [59] Gamal A E, Syed Z A.. A stochastic model for interconnections in custom integrated circuits. IEEE Trans on Circuits and System. 1981, 28(9): 888-894.
    [60] Gamal A E. Two-dimensional stochastic model for interconnections in master slice integrated circuits. IEEE Trans on Circuits and System. 1981, 28(2): 127-138.
    [61] Wei Li, Dilip K. Banerji. Routability prediction for hierarchical FPGAs. IEEE, 1999: 256-259.
    [62] Brown S. D, Rose J,. Vrabesic Z. G. A stochastic model to predict the routability of field programmable gate arrays, IEEE Transactions on Computer-Aided Design, 1993, 12: 1827-1838.
    [63] Zhibin Dai Dilip K. Banerji. Routability Prediction for Field Programmable Gate Arrays with a Routing Hierarchy, Proceedings of the 16th International Conference on VLSI Design (VLSI'03).
    [64] Sarma Sastry, Alice Parker. Stochastic models for wireability analysis of gate arrays. IEE Transactions on Computer-Aided design. 1986, 5(1): 52-65.
    [65] Xiaoyu Song, Qian-Yu Tang, Dian Zhou, et al. Wire space estimation and routability analysis. IEE Transactions on Computer-Aided design. 2000, 19(5): 624-628.
    [66] Jong-eun Lee;Kiyoung Choi;Dutt, N. D Compilation approach for coarse-grained reconfigurable architectures, Design & Test of Computers, IEEE, 2003, 20(1): 26-33.
    [67] Mei B;Vernalde S;Verkest D, et al. Exploiting loop-level parallelism on coarse-grained reconfigurable architectures using modulo scheduling, Computers and Digital Techniques, IEE Proceedings, 2003, 150(5): 255-261.
    [68] Hannig F;Dutta H;Teich J. Mapping of regular nested loop programs to coarse-grained reconfigurable arrays-constraints and methodology, Parallel and Distributed Processing Symposium, 2004: 148-155.
    [69] Mei B;Vernalde S;Verkest D, et al. DRESC: a retargetable compiler for coarse-grained reconfigurable architectures, IEEE International Conference on Field-Programmable Technology, 2002: 166-173.
    [70] Hegde N, Shanbhag. Toward achieving energy efficiency in presence of deep submicron noise, IEEE Transactions on VLSI Systems, 2000, 8(4): 379-391.
    [71] Pedram M. Power minimization in IC design: principles and applications, ACM Trans. Design Automat. Electron. Syst. 1996, 1(1): 3-56.
    [72] Landman P. High-Level Power Estimation. Low Power Electronics and Design, 1996., International Symposium on. Monterey CA, 1996: 29—35.
    [73] Muller-Glaser K, Kirsch K,. Neusinger K. Estimating Essential Design Characteristics to Support Project Planning for ASIC Design Management, IEEE International Conference on Computer-Aided Design, 1991: 148-151.
    [74] Bilavarn, S.;Gogniat, G.;Philippe, J. L. Area time power estimation for FPGA based designs at a behavioral level, The 7th IEEE International Conference on Electronics, Circuits and Systems, 2000, 1: 524-527.
    [75] Davis J A, De V K, Meundl J D. A Stochastic Wire-Length Distribution for Gigascale Integration (GSI)—Part Ⅰ: Derivation and Validation. IEEE Trans on electron devices, 1998, 45(3): 580-589.
    [76] Davis J A, De V K, Meundl J D. A. Stochastic wire-length distribution for gigascale integration (GSI). Ⅱ. Applications to clock frequency, power dissipation, and chip size estimation, IEEE Transactions on Electron Devices, 1998, 45(3): 590-597.
    [77] 王志华,邓仰东.数字集成系统的结构化设计与高层次综合,清华大学出版 社,2000。
    [78] Narayan S, Gajski D D. Area and Performance Estimation fromSystem-Level Specifications, Technical Report, University of California, 1992.
    [79] 张兆庆,乔如良等译。现代结构结构的优化编译器。机械工业出版社,2004。
    [80] Bernstein A J. Analysis of programs for parallel processing. IEEE Transactions on Electronic Computers 1966, 15(5): 757-763.
    [81] Blelloch G E, Chatterjee S, Zagha M. Solving linear recurrences with loop raking, Parallel and Distributed Computing, 1995, 25(1): 91-97.
    [82] Cardoso, J. M. P. Loop dissevering: a technique for temporally partitioning loops in dynamically reconfigurable computing platforms, Proceedings of Parallel and Distributed Processing Symposium, 2003
    [83] Ramasubramanian, N.;Subramanian, R.;Pande, S Automatic compilation of loops to exploit operator parallelism on configurable arithmetic logic units, IEEE Transactions on Parallel and Distributed Systems, 2002, 13(1): 45-66.
    [84] Noguera J, Badia R. M. A HW/SW partitioning algorithm for dynamically reconfigurable architectures, Proceedings of Design, Automation and Test in Europe, 2001: 729-734.
    [85] Xue-Jie Zhang;Kam-Wing Ng. A temporal partitioning approach based on reconfiguration granularity estimation for dynamically reconfigurable systems, Proceedings of Field-Programmable Technology (FPT), 2003: 344-347.
    [86] Tanougast C, Berviller Y., Brunet P., et al. Automated RTR temporal partitioning for reconfigurable embedded real-time system design, Proceedings of Parallel and Distributed, 2003.
    [87] Harkin J, McGinnity T. M, Maguire L. P. Partitioning methodology for dynamically reconfigurable embedded systems, IEE Proceedings of Computers and Digital Techniques, 2000, 147(6): 391-396.
    [88] Maestre R.;Kurdahi F. J.;Fernandez M., et al. A framework for reconfigurable computing: task scheduling and context management, IEEE Transactions on VLSI, 2001, 9(6): 858-873.
    [89] Maestre R.;Kurdahi F. J.;Fernandez M., et al. A framework for reconfigurable computing: task scheduling and context management-a summary, IEEE Circuits and Systems Magazine, 2002, 2(4): 48-51.
    [90] K. M. GajjalaPurna and D. Bhatia. Temporal partitioning and scheduling for reconfigurable computing, Proc. IEEE Symp. FPGAs for Custom Computing Machines, 1998: 329-330.
    [91] Kaul M.;Vemuri R. Temporal partitioning combined with design space exploration for latency minimization of run-time reconfigured designs, Proceedings of Design, Automation and Test in Europe Conference and Exhibition, 1999: 202-209.
    [92] Kaul M.;Vemuri R,. Govindarajan S, et al. An automated temporal partitioning and loop fission approach for FPGA based reconfigurable synthesis of DSP application, Proc. Design Automation Conf, 1999: 616-622.
    [93] Malvar H. S.;Hallapuro A.;Karczewicz M.;Kerofsky L.. Low-complexity transform and quantization in H.264/AVC, IEEE Transactions on Circuits and Systems for Video Technology, 2003, 13(7): 598-603.
    [94] 兰旭光,郑南宁,梅魁志等.JPEG2000并行阵列式小波滤波器的VLSI结构设计,电子学报,2004,32(11):1806—1809.
    [95] Gomila C.;Yin P. New features and applications of the H.264 video coding standard, Proceedings of International Conference on Information Technology: Research and Education, 2003: 6-10.
    [96] M. Herz. High performance memory communication architectures for coarse-grained reconfigurable computing systems. PhD thesis, Kaiserslautern University, Germany, 2001.
    [97] Weinhaudt M.;Luk W. Memory access optimisation for reconfigurable systems, Computers and Digital Techniques, 2001, 148(3): 105-112.
    [98] Herz M.;Hartenstein R.;Miranda M, et al. Memory addressing organization for stream-based reconfigurable computing, International Conference on Electronics, Circuits and Systems, 2002, 2: 813-817.
    [99] Jong-eun Lee;Kiyoung Choi;Dutt, N. D. Evaluating memory architectures for media applications on coarse-grained reconfigurable architectures, IEEE International Conference on Application-Specific Systems, Architectures, and Processors, 2003: 172-182.
    [100] Hulina P. T.;Coraor L. D.;Kurian L, et al. Design and VLSI implementation of an address generation coprocessor, IEE Proceedings of Computers and Digital Techniques, 1995, 142(2): 145-151.
    [101] Schmit H.;Thomas D. E. Address generation for memories containing multiple arrays, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1998, 17(5): 377-385.
    [102] Kim, J. Y.;Sunwoo, M. H. Design of address generation unit for audio DSP, Proceedings of International Symposium on Intelligent Signal Processing and Communication Systems, 2004: 616-619.
    [103] Miranda M.;Catthoor E;Janssen M, et al. ADOPT: efficient hardware address generation in distributed memory architectures, International Symposium on System Synthesis, 1996: 20-25.
    [104] Melis, W. J. C.;Cheung, P. K.;Luk, W. Autonomous Memory Block for reconfigurable computing, Proceedings of International Symposium on Circuits and Systems, 2004, 2.
    [105] Miranda M.;Kaspar M.;Catthoor F, et al. Architectural exploration and optimization for counter based hardware address generation, Proceedings of European Design and Test Conference, 1997: 293-298.
    [106] Bansal N.;Gupta S.;Dutt N, et al. Network topology exploration of mesh-based coarse-grain reconfigurable architectures, Proceedings of Design, Automation and Test in Europe Conference and Exhibition, 2004, 1: 474-479.
    [107] Szymanek R.;Kuchcinski K. Task assignment and scheduling under memory constraints, Proceedings of the 26th Euromicro Conference, 2000, 1: 84-90.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700