光学邻近校正技术和版图热点管理技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
近半个世纪以来,集成电路的发展一直按照摩尔定律给出的轨迹不断向前。然而,不断增大的芯片集成度和越来越小的特征尺寸要求,使得集成电路制造面临越来越严峻的挑战。幸运的是,人们的不懈努力和科学技术的发展,使得摩尔定律的有效性延续至今。分辨率增强技术(Resolution Enhancement Techniques, RETs)在亚波长光刻条件下的集成电路制造过程中起了关键作用,使得光刻技术的极限不断向前推进,目前可制造的最小特征尺寸已经达到光源波长的六分之一以下。基于模型的光学邻近校正技术(Model-based Optical Proximity Correction, MBOPC)是应用最为广泛的分辨率增强技术之一。它通过校正掩模图形来弥补光刻过程中的图形转移失真。随着工艺节点的推进,可制造性设计中的版图热点管理技术越来越受到业界的重视。它为电路设计者和制造者提供了设计规则检查(Design Rule Check, DRC)之外的另一种沟通途径。本文的工作集中在基于模型的光学邻近校正和版图热点管理这两个方面。
     在基于模型的光学邻近校正方面,本文提出了三种改进的光学邻近校正方法:
     稀疏矩阵式光学邻近校正算法。该算法利用光刻模型的卷积核,在多边形切分之后校正之前找出对光强采样点光强变化影响较大的小边,在矩阵式光学邻近校正计算雅可比矩阵时,只计算这些权重较大的小边对应的矩阵元素,以减小矩阵式光学邻近校正的计算量。该算法在保留了矩阵式光学邻近校正高精确度特性的同时,加快了校正速度。
     适用于图像传感器像素阵列的光学邻近校正方法.CMOS图像传感器像素阵列版图是由相同的像素单元(Cell)多次调用规则排列而成。像素单元在版图上通常是对称图形,对晶圆上制造结果的对称性要求也很高。针对图像传感器像素阵列的特点,我们提出的方法对其进行版图层次化处理,对对称的像素单元版图进行对称化切分和对称化校正,在保证光学邻近校正结果的一致性和对称性的同时提高了校正速度。
     针对一维版图的快速光学邻近校正算法。根据一维栅格化设计规则设计的一维版图,需要通过有选择地延长线端,加入虚拟线条,优化线端间隙分布,使其成为密集线图形,以提高版图的光刻友好性。线端间隙优化后的连线面积通常比实际连线面积大很多。但是我们真正关心的仅仅是实际连线版图的光刻结果。因此在进行光学邻近校正时,只需要对实际连线及与其相邻的延长部分进行校正,而对虚拟连线和不与实际连线相邻的延长部分,只需要进行经验化的固定移动即可。这种快速光学邻近校正方法,可以显著提高校正效率。
     在版图热点管理方面,本文介绍了一种热点管理流程并提出了一种热点分类方法:
     基于晶圆检查和设计版图模式识别的热点管理流程。该流程包括缺陷过滤、热点分类、热点危险度排序、热点库建立等步骤,能够对晶圆缺陷检查结果数据进行高效分析管理,给版图设计者提供有效反馈,指导版图设计和校正,避免同类缺陷重复出现,提高芯片成品率。
     基于高阶局部自相关和离散二维相关的热点分类。热点分类是热点管理流程中的一个重要步骤。本文提出的方法首先利用高阶局部自相关函数提取热点版图特征向量;然后利用主成分分析方法降低特征向量维度;接下来用低维的特征向量进行热点预分类;最后应用基于离散二维相关的方法进行热点细分类。该方法可以有效地对中心偏移条件下的版图热点进行快速分类。
In the last half a century, the development of IC has been keeping its pace with Moore's Law. Although the constantly increasing integration level of IC and the smaller and smaller critical dimension (CD) have brought daunting challenges to IC manufacturing, fortunately Moore's Law is still valid because of the development of science and technology and people's efforts. Resolution Enhancement Techniques (RETs) which make the available minimal CD nowadays smaller than1/6of the wavelength of illumination system play an important role in IC manufacturing under sub-wavelength lithography condition. Model-based Optical Proximity Correction (MBOPC) is one of the widely used RETs. It compensates pattern distortion during lithography by modifying mask patterns. As the technology node moves forward, hotspot management, one of Design for Manufacturability (DFM) techniques, gets more and more attentions. It provides another communication method between IC designer and manufacturer besides Design Rule Check (DRC). The work of this thesis focus on MBOPC and hotspot management.
     On MBOPC, three improved OPC methods are proposed in this thesis.
     A sparse matrix MBOPC algorithm. After fragmentation, we find out the "sensitive area" using lithographic model kernels. When the Jacobian matrix used in the matrix MBOPC is evaluated, only the elements that correspond to the segments in the sensitive area of every control site need to be calculated. The computations will be greatly reduced. This algorithm will speed up the matrix MBOPC process while maintaining high accuracy.
     An OPC method suitable for pixel array layout of image sensor. The layout of pixel array of CMOS image sensor is constructed by lining up the same pixel cell. The polygons in pixel cell layout are usually symmetric, therefore, symmetrical result is expected on the wafer. In the proposed method, we process the pixel array layout hierarchically, apply symmetrical fragmentation and symmetrical correction to the polygons. This method results in high correction speed and symmetrical OPC result.
     A fast OPC algorithm for1-D layout. For better lithography friendliness, the layout designed using1Dimensional Gridded Design Rules (1D GDRs) need to be optimized into dense lines pattern by line end extension, dummy insertion and gap distribution optimization. After optimization, the optimized wires coverage ratio to layout is much larger than the original wires. However, in the optimized layout, only the parts of original wires are the functional parts. With the proposed algorithm, during the model-based OPC process, most of the extended parts and dummies of the layout are excluded from correction with empirical fixed offsets to save run time, and only the functional parts of the layout and the extended parts adjacent to them will be corrected. This algorithm significantly improves the OPC speed. On hotspot management, a management flow and a hotspot classification method are discussed in this thesis.
     A hotspot management flow based on wafer inspection and pattern recognition. This flow includes following steps:defect filtering, hotspot classification, hotspot risk ranking, hotspot library building and hotspot pattern matching. It can analyze and manage wafer inspection result efficiently, and can provides informative feedback to the layout designer. The feedback will be used as guidance in layout design and modification to reduce defect and impove chip yield.
     Hotspot classification based on higher-order local autocorrelation and discrete2-D correlation. Hotspot classification is an important step of hotspot management. In the proposed method, firstly, we extract the features of the hotspot patterns using HLAC method. Secondly, the principal component analysis (PCA) is performed on the features for dimension reduction. Thirdly, the simplified low dimensional vector features of the hotspot patterns are used in the pre-clustering step. Finally, detailed clustering using pattern similarity calculated by discrete2-D correlation is carried out. The proposed method can classify hotspots under center-shifting condition effectively and speed up the classification process greatly.
引文
1.史峥,亚波长光刻条件下集成电路可制造性设计与验证技术研究.2005,浙江大学:杭州.
    2. http://www.gov.cn/irzg/2006-02/09/content_183787.htm.
    3. http://en.wikipedia.org/wiki/Moore's law.
    4. http://www.itrs.net/.
    5.陈晔,适用于超深亚微米集成电路制造与验证流程的光学邻近修正方法研究.2008,浙江大学:杭州.
    6. 齐晶,纳米尺度下光学邻近校正的预处理与后验证研究.2012,浙江大学:杭州.
    7. TAKASHI I., S.O., Pushing the limits of lithography. Nature,2000. Vol. 406(6799):p.1027-1031.
    8. Takaharu Miura, K.M., Kazuaki Suzuki, Yoshiaki Kohama, Kenji Morita, Kazunari Hada, and Yukiharu Ohkubo, Nikon euvl development progress update. SPIE,2007. Vol.6517:p.651707.
    9. Guojing Zhang, P.-Y.Y., Ted Liang, Seh jin Park, Peter Sanchez, Emily Y. Shu, Erdem A. Ultanir, Sven Henrichs, Alan Stivers, Gilroy Vandentop, Barry Lieberman, and Ping Qu, Progress on euv mask fabrication for 32-nm technology node and beyond. SPIE,2007. Vol.6607:p.66070R.
    10. Hideki Ina, K.K., Eigo Kawakami, and Kouji Uda, Critical issues study of nano-imprint tool for semiconductor volume production. SPIE,2007. Vol.6517: p.65170M.
    11. Wong, A.K., ed. Resolution Enhancement Thechniques in Optical Lithography. 2001, SPIE Press.
    12. E. Born, M.W., ed.光学原理(第七版).2006,电子工业出版社.
    13. Ban Wong, F.Z., Victor Moroz, Anurag Mittal, Greg Starr and Andrew Kahng, ed. NANO-CMOS DESIGN FOR MANUFACTURABILILTY.2009, John Wiley & Sons, Inc.
    14. Schellenberg, F.M., Resolution enhancement technology: the past, the present, and extensions for the future. SPIE,2004. Vol.5377:p.1-20.
    15. Liebmann, L.W., Resolution enhancement techniques in optical lithography: It's not just a mask problem. SPIE,2001. Vol.4409:p.23-32.
    16. Zakhor, N.B.C.a.A., Fast sparse aerial-image calculation for opc. SPIE,1995. Vol.2621:p.534-545.
    17. Franklin M. Schellenberg, H.Z., and Jim Morrow, Evaluation of opc efficacy. SPIE,1996. Vol.2726(680-688).
    18. 冯伯儒,张锦,侯德胜,相移掩模和光学邻近效应校正光刻技术光电工程,2001.Vol.28.
    19. Terasawa, T., Subwavelength optical lithography. SPIE,2000. Vol.4181:p. 8-16.
    20. LIN C Y, L.B., Chiou F Y, et al, Novel One-Dimensional Scattering Bar Rule via Computer Aided Design. ASMC 2008,2008:p.173-178.
    21. Manabu Hakko, K.Y., Miyoko Kawashima, Yoshiyuki Sekine, Masakatsu Ohta, and Tokuyuki Honda, Extension of the 2D-TCC Technique to Optimize Mask Pattern Layouts. SPIE,2008. Vol.7028:p.70280Z.
    22. Jue-Chin Yu, P.Y., and Hsueh-Yung Chao, Model-based sub-resolution assist features using an inverse lithography method. SPIE,2008. Vol.7140:p. 714014.
    23. 杨讳巍,纳米级电路分辨率增强技术及可制造性设计研究,2010,浙江大学:杭州.
    24. Deyan Wang, J.L, Doris Kang, Cong Liu, Tom Estelle, Cheng-Bai Xu, George Barclay, Peter Trefonas, Blob defect prevention in 193nm topcoat-free immersion lithography. SPIE,2012. Vol.8325:p.83252G.
    25. Natsuko Sagawa, K.N., Yuuki Ishii, Kazunori Kusabiraki, Motoyuki Shima, High hydrophobic topcoat approach for high volume production and yield enhancement of immersion lithography. SPIE,2012. Vol.8326:p.832627.
    26. Akihiko Kurosu, M.N., Masanori Yashiro, Masaya Yoshino, Hiroaki Tsushima, Hiroyuki Masuda, Takahito Kumazaki, Shinichi Matsumoto, Kouji Kakizaki, Takashi Matsunaga, Shinji Okazaki, Junichi Fujimoto, Hakaru Mizoguchi, A reliable higher power ArF laser with advanced functionality for immersion lithography. SPIE,2012. Vol.8326:p.83261F.
    27. Dennis Shu-Hao Hsu, W.-H.H., Chun-Yen Huang, Wen-Bin Wu, Chiang-Lin Shih, LWR reduction by photoresist formulation optimization for 193nm immersion lithography SPIE,2012. Vol.8325:p.83251M.
    28. http://en.wikipedia.org/wiki/Snell's law.
    29. Keiichiro Tounai, H.T., Hiroshi Nozue, and Kunihiko Kasama, Resolution improvement with annular illumination. SPIE,1992. Vol.1674:p.753-764.
    30. 沈珊瑚,纳米级电路光刻建模及可制造性计研究.2009,浙江大学:杭州.
    31. Seok-Kyun Kim, C.-N.A., Seo-Min Kim, Young-Mog Ham, and Ki-Ho Baik, Reduction of isolated-dense bias by optimization off-axis illumination for 150-nm lithography using krf. SPIE,1999. Vol.3679:p.691-696.
    32. Young-Min Kang, a.H.-K.O., Optimum biasing for 45 nm node chromeless and attenuated phase shift mask. SPIE,2008. Vol.6924:p.692433.
    33. Yosuke Kojima, T.K., Jun Sasaki, Keishi Tanaka, Toru Komizo, Motohiko Morita, Masanori Shirasaki, Takashi Ohshima, Hiroyuki Takahashi, Kazuaki Chiba, Masao Otaki, and Yoshimitsu Okuda, Study of alternating phase shift mask structures for ArF lithography. SPIE,2004. Vol.5446:p.570.
    34. Yosuke Kojima, M.S., Kazuaki Chiba, Tsuyoshi Tanaka, Yukio Inazuki, Hiroki Yoshikawa, Satoshi Okazaki, Kazuya Iwase, Kiichi Ishikawa, Ken Ozawa, Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control. SPIE,2007. Vol.6607:p.66070C.
    35. Taichi Yamazaki, Y.K., Mitsuharu Yamana, Takashi Haraguchi, and Tsuyoshi Tanaka, Fine pattern fabrication property of binary mask and attenuated phase shift mask. SPIE,2009. Vol.7379:p.73791V.
    36. Tae-Seung Eom, J.-T.P., Jung-Hyun Kang, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon Lee, Chang-Moon Lim, HyeongSoo Kim, and Seung-Chan Moon, Comparative study of binary intensity mask and attenuated phase shift mask using hyper-NA immersion lithography for sub-45nm era. SPIE,2008. Vol.6924:p.69240H.
    37. Andersson, Z.M.M.a.A., Preventing sidelobe printing in applying attenuated phase-shift reticles. SPIE,1998. Vol.3334:p.543-552.
    38. Tamer Desouky, D.A., Hojun Kim, Soo-Han Choi, Double patterning for 20nm and beyond:design rules aware splitting. SPIE,2012. Vol.8522:p.852221.
    39. Yasmine A. Badr, A.G.W., Sherif Hammouda, Split-it!:from litho etch litho etch to self-aligned double patterning decomposition. SPIE,2012. Vol.8522:p. 852225.
    40. Hyun-Jong Lee, S.-H.C, Jae-Seok Yang, Kwan-Young Chun, Jeong-ho Do, Chul-Hong Park, Novel DPT methodology co-optimized with design rules for sub-20nm device. SPIE,2012. Vol.8522:p.85220T.
    41. Yuangsheng Ma, J.S., Hidekazu Yoshida, Yan Wang, Jongwook Kye, Harry J. Levinson, Self-aligned double patterning (SADP) compliant design flow. SPIE, 2012. Vol.8327:p.832706.
    42. Yesilada, E., Design friendly double patterning. SPIE,2012. Vol.8327:p. 832707.
    43. Kevin Lucas, K.P., Robert Boone, Corinne Miramond, Amandine Borjon, Jerome Belledent, Olivier Toublan, Jorge Entradas, and Yorick Trouiller, Reticle enhancement verification for the 65nm and 45nm nodes. SPIE,2006. Vol. 6156:p.61560R.
    44. Yan Xiaolang, C.Y., Shi Zheng, and Chen Zhijin, Architecture of a post-opc silicon verification tool. ASICON,2003. Vol.2:p.1365-1368.
    45. Lars Liebmann, D.M., Kevin McCullen, Nakgeuon Seong, Ed Buturla, Mark Lavin, and Jason Hibbeler, Integrating dfm components into a cohesive design-to-silicon solution. SPIE,2005. Vol.5756:p.1-12.
    46. Berglund, J.A.T.a.C.N., Integrated circuit dfm framework for deep subwavelength processes. SPIE,2005. Vol.5756:p.39-50.
    47. Cote, P.H.a.M., Dfm for manufacturers and designers. SPIE,2005. Vol.5992:p. 59920G.
    48. Zhang Peiyong, Y.X., Shi Zheng, Gao Gensheng, Ma Yue, and Chen Ye, Design for manufacturability of sub100 nanometer standard cells. Acta Electronica Sinica,2004. Vol.33(2):p.304-307.
    49. Reinhard Marz, K.P., andWilhelm Maurer, Orc and Ifd as first steps towards dfm. SPIE,2006. Vol.6281:p.628101.
    50. Kai Peter, R.M.a., Sonja Grondahl, and Wilhelm Maurer, Litho-friendly design (Ifd) methodologies applied to library cells. SPIE,2006. Vol.6349:p.63490E.
    51. Rieger, J.P.S.a.M.L., Spatial-filter models to describe ic lithographic behavior. SPIE,1997. Vol.3051:p.469-478.
    52. Cobb, N.B., Zakhor, A., Fast Sparse Aerial Image Calculation for OPC. SPIE, 1995. Vol.2621:p.534-545.
    53. Cobb, N.B., Zakhor, A., Miloslavsky, E., Mathematical and CAD Framework for Proximity Correction. SPIE,1996. Vol.2726:p.208-222.
    54. David Fuard, M.B., and Patrick Schiavone, Assessment of different simplified resist models. SPIE,2002. Vol.4691:p.1266-1277.
    55. Joseph G. Garofalo, J.D., J. Bailey, Jiabei Xiao, and Sheila Vaidya, Reduction of asic gate-level line-end shortening by mask compensation. SPIE,1995. Vol. 2440:p.171-183.
    56. Cobb, Y.G.a.N.B., New process models for opc at sub-90-nm nodes. SPIE,2003. Vol.5040:p.1166-1175.
    57. Granik, Y, Correction for etch proximity: new models and applications. SPIE, 2001. Vol.4346:p.98-112.
    58. Shumay Shang, Y.G., and Martin Niehoff, Etch proximity correction by integrated model-based retargeting and opc flow. SPIE,2007. Vol.6730:p. 67302G.
    59. John Randall, A.V.T., Rik M. Jonckheere, Patrick Jaenen, and Kurt G. Ronse, Reduction of mask-induced cd errors by optical proximity correction. SPIE, 1998. Vol.3334: p.124-130.
    60. Henderson, O.W.O.a.R.C., Advances in process matching for rules-based optical proximity correction. SPIE,1996. Vol.2884:p.425-434.
    61. Cobb, J.W.V.a.N.B., Enhanced model-based opc for 65 nm and below. SPIE, 2004. Vol.5567:p.1305-1314.
    62. Toshiya Kotani, S.K., Hirotaka Ichikawa, Satoshi Tanaka, Susumu Watanabe, and Soichi Inoue, Advanced hybrid optical proximity correction system with opc segment library and model-based correction module. SPIE,2002. Vol. 4691(188-195).
    63. Balasingam, M.H.a.P., Hybrid optical proximity correction:concepts and results. SPIE,2002. Vol.4889:p.1173-1180.
    64. Azalia Krasnoperova, J.A.C., loana Graur, Scott Mansfield, Mohamed Allmam, and Hesham Maaty, Process window opc for reduced process variability and enhanced yield. SPIE,2006. Vol.6154:p.61543L.
    65. Sakajiri, J.W.a.K., Opc to improve lithographic process window. SPIE,2006. Vol. 6156:p.615611.
    66. Michel Cote, A.M., Robert Lugg, Michael L. Rieger, and Philippe Hurat, Process centering opc using design intent to improve yield. SPIE,2005. Vol.5756:p. 331-339.
    67. Mark Terry, G.Z., George Lu, Simon Chang, Tom Aton, Robert Soper, Mark Mason, Shane Best, Bill Dostalik, Stefan Hunsche, Jiang Wei Li, Rongchun Zhou, Mu Feng, and Jim Burdorf, Process window and interlayer aware opc for the 32-nm node. SPIE,2007. Vol.6520:p.65200S.
    68. Cobb, N., Flexible sparse and dense opc algorithms. SPIE,2005. Vol.5853:p. 693-702.
    69. Granik, N.B.C.a.Y., Dense opc for 65nm and below. SPIE,2005. Vol.5992:p. 599259.
    70. Dudau, N.C.a.D., Dense opc and verification for 45nm. SPIE,2006. Vol.6154:p. 615401.
    71. Pang, D.S.A.a.L., Fast inverse lithography technology. SPIE,2006. Vol.6154:p. 61541J.
    72. Benjamin Lin, M.F.S., Jie wei Sun, Jonathan Ho, Yan Wang, Xin Wu, Wolfgang Leitermann, Orson Lin, Jason Lin, Yong Liu, and Linyong Pang, Inverse lithography technology at chip scale. SPIE,2006. Vol.6154:p.615414.
    73. Milanfar, A.P.a.P., Opc and psm design using inverse lithography: a nonlinear optimization approach. SPIE,2006. Vol.6154:p.61543H.
    74. Amyn Poonawala, P.M., OPC and PSM Design Using Inverse Lithography: A Non-linear Optimiztion Approach. SPIE,2006. Vol.6154:p.61543H.
    75. 熊伟,张进宇,Tsai Min-Chun,王.,65nm 工艺节点下的光刻掩模版优化算法计算机辅助设计与图形学学报,2008.vol.20:p.577.
    76. Linyong Pang, G.D., Tom Cecil, Thuc Dam, Ying Cui, Peter Hu, Dongxue Chen, Ki-Ho Baik, and Danping Peng, Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes. SPIE,2008. Vol. 6924:p.69240T.
    77. Ding, D., Wu, X., Ghosh, J., and Pan, D. Z., Machine Learning Based Lithographic Hotspot Detection with Critical-Feature Extraction and Classification. Proc. Int. Conf. for Integrated Circuit Design Technology,2009:p. 219-222.
    78. Ding, D., Torres, A. J., Pikus, F. G., and Pan, D. Z., High Performance Lithography Hotspot Detection with Hierarchically Refined Machine Learning Methods. Int. Workshop on Design for Manufacturability and Yield,2010.
    79. Wuu, J.-Y., Pikus, F. G., Torres, A., and Marek-Sadowska, M., Detecting Context Sensitive Hotspots in Standard Cell Libraries. SPIE,2009. Vol.7275:p.727515.
    80. Wuu, J.-Y., Pikus, F. G., Torres, A., and Marek-Sadowska, M., Rapid Two-Level Layout Pattern Classification for Hotspot Prediction. Int. Workshop on Design for Manufacturability and Yield,2010.
    81. Wuu, J.-Y, Pikus, F. G., Torres, A., and Marek-Sadowska, M., Rapid Layout Pattern Classification. Asia and South Pacific Design Automation Conference, 2011:p.781-786.
    82. Yiwei Yang, Z.S., Litian Sun, Ye Chen, Zhijuan Hu, A kernel-based DFM model for process from layout to wafer. SPIE,2010. Vol.7641:p.764100.
    83. Dai, V., Yang, J., Rodriguez, N., and Capodieci, L., DRC Plus: Augmenting Standard DRC With Pattern Matching on 2D Geometries. SPIE,2007. Vol. 6521:p.65210A.
    84. Ghan, J., Ma, N., Mishra, S., Spanos, C., Poolla, K., Rodriguez, N., and Capodieci, L., Clustering and Pattern Matching for an Automatic Hotspot Classification and Detection System. SPIE,2009. Vol.7275:p.727516.
    85. Yao, H., Sinha, S., Xu, J., Chiang, C., Cai, Y., and Hong, X., Efficient Range Pattern Matching Algorithm for Process-Hotspot Detection. IET Circuits, Devices & Systems,2008. Vol.2(lssue 1):p.2-15.
    86. Soo-Han Choi, A.-Y.J., Ji-Suk Hong, Moon-Hyun Yoo, and Jeong-Taek Kong, Meef-based correction to achieve opc convergence of low-k1 lithography with strong oai. SPIE,2006. Vol.6154:p.61540P.
    87. Klaus Herold, N.C., and Ian P. Stobert, Managing high-accuracy and fast convergence in opc. SPIE,2006. Vol.6349:p.634924.
    88. Benjamin Painter, L.L.M.I., and Michael L. Rieger, Classical control theory applied to opc correction segment convergence. SPIE,2004. Vol.5377:p. 1198-1206.
    89. Granik, Y., Generalized MEEF theory. Interface,2001.
    90. Y. Granik, N.c., MEEF as a matrix. SPIE,2002. Vol.4562:p.980-991.
    91. Y. Granik, N.c., Two-dimensional G-MEEF Theory and Application. SPIE,2002. Vol.4754:p.146-155.
    92. Granik, N.B.C.a.Y, Model-based opc using the meef matrix. SPIE,2002. Vol. 4889:p.1281-1292.
    93. Chen, Y, Wu, K., Shi, Z., Yan, X.L., A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions. SPIE,2007. Vol.6520:p. 65204C1-65204C10.
    94. Smayling, M.C., Bencher, C., Chen, H. D., Dai, H., and Duane, M. P., Apf pitch-halving for 22nm logic cells using gridded design rules. SPIE,2008. Vol. 6925:p.69251E.
    95. Smayling, M., Gridded Design Rules-1-D Approach Enables Scaling of CMOS Logic. Nanochip Technology Journal,2008(lssue 2):p.33-37.
    96. Liebmann L., P.L., Hibbeler J., Simplify to survive:prescriptive layouts ensure profitable scaling to 32nm and beyond. SPIE,2009. Vol.7275:p.72750A.
    97. Greenway, R.T., Hendel, R., Jeong, K., Kahng, A. B., Petersen, J. S., Rao, Z., and Smayling, M. C., Interference assisted lithography for patterning of 1d gridded design. SPIE,2009. Vol.7271:p.72712U.
    98. Hongbo Zhang, W.M.D.F., Kai-yuan Chao, On process-aware 1-d standard cell design. ASP-DAc,2010.
    99. El-Moselhy, T., Elfadel, I., and Daniel, L., A capacitance solver for incremental variation-aware extraction. ICCAD,2008:p.662-669.
    100. Sachiko Kobayashi, S.K., Toshiya Kotani, Soichi Inoue, Process window aware layout optimization using hot spot fixing system. SPIE,2007. Vol.6521:p. 65210B.
    101. Jae-Hyun Kang, N.H., Joo-Hyun Park, Byung-Moo Kim, Seung Weon Paek, Hungbok Choi, Kee Sup Kim, Ahmed Mohy, Shady Abdelwahed, Mohamed Imam, Thickness-aware LFD for the hotspot detection induced by topology. SPIE,2012. Vol.8327:p.83270P.
    102. Shimon Maeda, T.M., Ryuji Ogawa, Hirotaka Ichikawa, Kazuhiro Takahata, Masahiro Miyairi, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kei Nakagawa, Tamaki Saito, Shoji Mimotogi, Soichi Inoue, Hirokazu Nosato, Hidenori Sakanashi, Takumi Kobayashi, Masahiro Murakawa, Tetsuya Higuchi, Eiichi Takahashi, and Nobuyuki Otsu, Hotspot detection using image pattern recognition based on higher-order local autocorrelation. SPIE,2011. Vol.7974: p.79740X.
    103. Francois Weisbuch, J.S., Determination of CD variance factors of 28nm 1x-Metal layer hotspots using experimental and simulated CD contours. SPIE, 2011. Vol.8166:p.81663G.
    104. Andre Poock, S.M., Francois Weisbuch, OPC hotspot identification challenges: ORC vs. PWQ on wafer. SPIE,2008. Vol.7122:p.71220Y.
    105. Scott Mansfield, I.G., Geng Han, Jason Meiring, Lars Liebmann, Dureseti Chidambarrao, Lithography simulation in DfM: achievable accuracy versus requirements SPIE,2007. Vol.6521:p.652106.
    106. Crockett Huang, H.L., S F Tzou, Allen Park, Chris Young, Ellis Chang, Effective Learning and Feedback to Designers through Design and Wafer Inspection Integration. SPIE,2008. Vol.6925:p.692506.
    107. Jing Zhang, Q.X., Xin Zhang, Xing Zhao, Jay Ning, Yield impacting systematic defects search and management. SPIE,2012. Vol.8327:p.832716.
    108. Cinti Chen, J.W.Z., Ping Zhang, Raymond Y. Xu, Systematic failure debug and defective pattern extraction for FPGA product yield improvement. SPIE,2010. Vol.7641:p.76410C.
    109. J.C. Le Denmat, V.C., L. Tetar, M. C. Luche, G. Kerrien, F. Robert, E. Yesilada, F. Foussadier, L. Couturier, L. Karsenti, M Geshel, Tracking of design related defects hidden in random defectivity in a production environment. SPIE,2010. Vol.7641:p.76410Y.
    110. J. H. Yeh, A.P., Novel technique to separate systematic and random defects during 65nm and 45nm process development. SPIE,2007. Vol.6521:p. 652114.
    111. Ning Ma, J.G., Sandipan Mishra, Costas Spanos, Kameshwar Poolla, Norma Rodriguez, Luigi Capodieci, Automatic hotspot classification using pattern-based clustering. SPIE,2008. Vol.6925:p.692505.
    112. Lajevardi, S.M., and Hussain, Z.M., Novel higher-order local autocorrelation-like feature extraction methodology for facial expression recognition. IET Image Process,2010. Vol.4(lss.2):p.114-119.
    113. Nomoto, N.e.a., A New Scheme for Image Recognition Using Higher-Order Local Autocorrelation and Factor Analysis. Proc. of MVA2005,2005:p. 265-268.
    114. Toyoda, T.a.H., O., Texture Classification Using Extended Higher Order Local Autocorrelation Features. Proc. of the 4th International Workshop on Texture Analysis and synthesis,2005:p.131-136.
    115. Watanabe, K.a.K., T., Motion Recognition by Higher Order Local Auto Correlation Features of Motion History Images. Proc. of BLISS'08,2008:p. 51-55.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700