微处理器温度感知的任务调度算法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成电路特征尺寸的不断缩小以及集成度的不断提高,处理器的功耗密度和温度持续上升。过高的温度不仅降低了芯片的可靠性,同时也对处理器的性能产生了很大的影响,温度问题已经成为限制微处理器持续发展的重要因素。而一些新兴的技术(如单芯片多处理器、3D堆叠技术等)则进一步增加了芯片的功耗密度,从而使得温度问题变得更加严峻。
     芯片的温度在时间和空间上的巨大变化也给处理器的封装和冷却带来了巨大挑战,传统的散热方法已经越来越不能满足当前高性能微处理器对散热的要求。为了解决这一问题,目前普遍采用基于硬件的动态热管理技术(Hardware DynamicThermal Management,简称HW DTM)来限制处理器的温度,即一旦硬件检测到处理器的温度达到某一预定的阈值,就启动相应的温度管理机制(比如降频、降压、断电等)来降低处理器的温度,保护处理器不被损坏。但是,HW DTM技术会增加程序的运行时间,降低系统的吞吐率,最终降低处理器的性能。
     本文的目标就是要尽可能地消除不必要的HW DTM触发事件,保护芯片的性能不受温度管理机制的影响。本文系统地研究了基于温度感知设计的一系列关键技术,针对已有工作的不足,提出了三种操作系统级的温度感知任务调度技术来避免HW DTM。本文主要的工作和创新点包括:
     第一,全面深入地分析了微处理器温度感知设计技术,从不同层次、不同角度对现有的温度感知设计技术进行了总结,分析了这些技术的优缺点。
     第二,进行温度感知任务调度需要在线进行功耗与温度计算,本文总结了现有的功耗估算模型和温度模型,对这些模型的特点进行了分析,讨论了功耗获取和温度计算的方法。
     第三,针对单核处理器,提出了一种贪婪调度算法GSA,在处理器温度不超过阈值温度的前提下让热的任务先运行,充分利用处理器的时间“温度余量”,将处理器较早提升到一个温度较高的状态,使处理器能更快地耗散热量,从而减少DTM触发次数,提升系统的性能。实验结果表明,GSA与基准调度器相比,在低、中、高温环境下的SPEC2K负载以及中温环境下的非SPEC负载,DTM分别可以降低9.9%~82%(平均47.1%)、8.8%~73.8%(平均41.1%)、2.9%~58.7%(平均31.7%)和5.9%~45.5(平均31%),性能可以分别提升4.2%、5.2%、4.7%和3.7%;与随机算法、优先权算法、MinTemp+算法以及TreshHot算法相比,GSA均有不同程度的性能提升。
     第四,针对2D CMP,提出了TSTB调度算法。CMP的自然分簇结构为温度管理提供了新的途径,调度一个热的任务到一个冷的核上比调度一个热的任务到热的核上,具有更低的峰值温度。TSTB利用CMP在时间和空间上的温度变化,通过改变冷热任务的执行顺序来挖掘CMP每个核内的时间“温度余量”,并将冷热任务安排到温度适合的核上运行来挖掘空间“温度余量”,减少热紧急事件,提升系统性能。实验结果表明,相比于基准调度算法,TSTB算法使TET(ThermalEmergency Time)降低了8.3%~91.5%,平均降低了48.3%,使性能提升了2.47%~6.58%(平均4.62%);TSTB相比于随机调度算法、轮转调度算法、平衡算法以及ThresHot算法等,均有不同程度的性能提升。
     第五,针对3D CMP,提出了HTBS调度算法。分析了3D CMP的温度特性,将垂直堆叠的核当作一个核堆,让任务的功耗在核堆之间进行平衡,同时将热的任务放在离热沉近的核上运行,以加速散热。当某个核出现过热时,对核堆中功耗最密集的处理器核进行DTM,使温度迅速降低。实验结果表明,相比于基准调度算法,HTBS算法使TET降低了8.4%~96.2%,平均降低了54.7%,获得了5.99%的性能提升;HTBS算法相比于随机调度、轮转调度、核间温度平衡调度以及堆间温度平衡调度算法,均有不同程度的性能提升。
With continuous IC (Integrated Circuit) technology size scaling, themicroprocessor’s power density and temperature continues to rise. The increase in dietemperature results in reduced performance and reliability. There are also emergingtechnologies, such as chip multi-processor and3D stacking technology, which canexacerbate the thermal condition on modern processors.
     The chip’s temperature tremendous change in time and space also poses a greatchallenge to the processor’s package and cooling. Existing cooling methodologies arehard pressed to alleviate the high temperatures associated with current generation highperformance processors. Current processors mainly use hardware dynamic temperaturemanagement to alleviate the power and temperature issues. Once the processor'stemperature reaches a predetermined threshold value, it starts the appropriatetemperature management mechanisms (such as dynamic voltage and frequency scaling,DVFS) to reduce the temperature of the processor, and prevent the processor fromdamage. However, these mechanisms have the disadvantage of high cost and willjeopardize the processor’s performance.
     Our goal is to eliminate unnecessary HW DTM as much as possible and improvethe chip’s performance. This paper studied the temperature-aware design technologiessystematically. Against the shortage of the existing method, we proposed three newtechnologies to avoid the unnecessary HW DTM. The main contributions of this paperare as follows:
     First, this paper summarized the existing temperature-aware design techniques fromdifferent levels and different angles, and analyzed the advantages and disadvantages ofthese techniques.
     Second, the temperature-aware task scheduling techniques needs power andtemperature calculation. This paper summarized the existing power estimation model andtemperature model, and then analyzed the characteristics of these models. We alsodiscussed how to obtain the power consumption and calculate the processor’stemperature.
     Third, to improve the performance of the single-core processors, which is harmed bythe thermal overshoots and the HW DTMs, we propose an algorithm named GSA. Wefind that if the processor reaches a high temperature state sooner, then the processor willbe able to heat dissipation faster. Based on this fact, GSA schedules the hot jobs beforethe cool jobs as long as it does not exceed the threshold. By fully use of the processortemporal "temperature margin" to reduce the number of DTM trigger, the performance ofthe system will be improved. Plenty of experiments are made. The DTM reduction rangesare9.9-82%(47.1%on average),8.8-73.8%(41%on average),2.9-58.7%(31.7%on average), and5.9-45.5%(31%) for mild, medium, and harsh thermal environment, andnon-SPEC benchmarks in medium environment respectively and the CPU throughputwas improved by up to4.2%,5.2%,4.7%,3.7%on average respectively.
     Fourth, we proposed TSTB algorithm for the2D CMP. CMP’s natural clusteringstructure offers a new approach for the temperature management. Scheduling a hot task toa cold core can lower the processor’s temperature. TSTB utilize CMP’s temporal andspatial temperature changes and arrange the order of execution of the hot and cold tasksand schedule the task to the appropriate core to reduce thermal emergencies, and improvesystem performance. Experimental results showed that the TSTB algorithm can reducethe TET by8.3%-91.5%(48.3%on average), and the CPU throughput was improved by2.47%-6.58%(4.62%on average).
     Finally, we proposed TSTB algorithm for the3D CMP. This paper analyzed the3DCMP temperature characteristics. First, the vertically stacked core should be treated as acore stack. The power of jobs is balanced among the core stacks instead of the individualcores. Second, the hot jobs are moved close to the heat sink to expedite heat dissipation.Third, when the thermal emergencies happen, the most power-intensive job in a corestack is penalized in order to lower the temperature quickly. Experimental results showedthat the HTBS algorithm can reduce the TET by8.4%-96.2%(54.7%on average), andthe CPU throughput was improved by5.99%on average.
引文
[1] S. Borkar. Thousand core chips: a technology perspective [C]. In DAC,2007:746-749.
    [2] Intel Pentium4processor in the478-pin package thermal design guidelines.design guide, Intel,2002,http://developer.intel.com/design/pentium4/guides/249889.htm.
    [3] Bryan Black, et al. Die stacking (3D) microarchitecture [C]. MICRO2006:469-479.
    [4] J. Joyner, P. Zarkesh-Ha and J. Meindl. A stochastic global net-lengthdistribution for a three-dimensional system on chip (3D-SoC)[C]. The14th IEEEInternational ASIC/SOC Conference,2001.
    [5] K. Puttaswamy, G. H. Loh. Thermal analysis of a3D die-stackedhigh-performance microprocessor [C]. ACM Great Lakes Symposium on VLSI,2006:19-24.
    [6] M. Awasthi, R. Balasubramonian. Exploring the design space for3D clusteredarchitectures [C].3rd IBM Watson Conference on Interaction between Architecture,Circuits, and Compilers, Yorktown Heights,2006.
    [7] K. Puttaswamy, G. H. Loh. Thermal herding: microarchitecture techniques forcontrolling hotspots in high-performance3D-integrated processors [C].HPCA,2007:193-204.
    [8] M. Santarini. Thermal integrity: A must for low-power ic digital design [C].EDN,2005:37-42.
    [9] W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, S. Ghosh, and S.Velusam. Compact thermal modeling for temperature-aware design [C]. In DAC,2004:878-883.
    [10] I. Yeo, C. C. Liu, and E. J. Kim. Predictive dynamic thermal management formulticore systems [C]. In DAC,2008:734-739.
    [11] K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D.Tarjan. Temperature-aware computer systems: opportunities and challenges [J]. IEEEMicro,2003, vol.23(6):52-61.
    [12] K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D.Tarjan. Temperature-aware microarchitecture [C]. ICSA,2003:2-13.
    [13] J. Marko. Intel's big shift after hitting technical wall [N]. New York Times,2004.
    [14] AMD. Power and cooling in the data center. http://enterprise.amd.com,2007.
    [15] C. Belady. In the data center, power and cooling costs more than the itequipment it supports [J]. Electronics Cooling,2007, vol.23(1).
    [16] K. G. Brill. The invisible crisis in the data center: The economic meltdown ofmoores law [C]. Uptime Institute,2007.
    [17] J. Moore, J. Chase, P. Ranganathan, and R. Sharma. Making scheduling"cool": Temperature-aware resource assignment in data centers [C]. Usenix AnnualTechnical Conference,2005.
    [18] ITRS. International Technology Roadmap for Semiconductors. InternationalSEMATECH, Austin, TX. http://public.itrs.net/.
    [19] U. Berkley. Bsim3/bsim4. Device Research Group, UC Berkeley,2008.
    [20] W. Liao, L. He, and K. Lepak. Temperature and supply voltage awareperformance and power modeling at microarchitecture level [J]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2005,vol.24(7):1042-1053.
    [21] W. Liao, L. He, and K. Lepak. Temperature and supply voltage awareperformance and power modeling at microarchitecture level [J]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2005,vol.24(7):1042-1053.
    [22] James Donald. Techniques for Multicore Thermal Management Classificationand New Exploration [C]. ISCA,2006.
    [23] Yongkui Han. Simulated Annealing Based Temperature Aware Floorplanning[J]. Journal of Low Power Electronics,2007, vol.3(2):141-155.
    [24] Karthik Sankaranarayanan, Sivakumar Velusamy, Mircea Stan, KevinSkadron. A Case for Thermal-Aware Floorplanning at the Microarchiteture Level [J].Journal of instruction-level Parallelism,2005, vol.8:1-16.
    [25] Wei Liu. Post-placement Temperature Reduction Techniques [J]. IEEETransactions on, Computer-Aided Design of Integrated Circuits and Systems,2013, vol.32(3):392-405.
    [26]查那日苏.片内多核微处理器温度可知的布图规划及线程映像研究[D].硕士学位论文,内蒙古:内蒙古大学,2009.
    [27]戚利侠.基于热感知的SoC布图规划[J].浙江大学学报理学版,2012(4):402-406。
    [28]梁颖.基于热叠加模型的叠层3D多芯片组件芯片热布局优化研究[J].电子学报,2009.
    [29] A.Kumar, L.Shang, L.Peh et al. HybDTM: a coordinated hardware-softwareapproach for dynamic thermal management [C]. Proc. of the43rd DAC,2006:548-553.
    [30] S. Gunther, F. Binns, D. M. Carmean, and J. C. Hall. Managing the impact ofincreasing microprocessor power consumption [J]. Intel Tech,2001.
    [31] D. Brooks and M. Martonosi. Dynamic thermal management forhigh-performance microprocessors [C]. In Proc. HPCA-7,2001:171-182.
    [32] W. Huang, J. Renau, S.-M. Yoo, and J. Torellas. A framework for dynamicenergy efficiency and temperature management [C]. In Proc. Micro-33,2000:202-213.
    [33] http://en.wikipedia.org/wiki/Dynamic frequency scaling.
    [34] http://en.wikipedia.org/wiki/Dynamic Voltage Scaling.
    [35] K. Skadron, T. Abdelzaher, and M. R. Stan. Control-theoretic techniques andthermal-RC modeling for accurate and localized dynamic thermal management [C]. InProc. HPCA-8,2002:17-28.
    [36] Kevin Skadron. Temperature-Aware Microarchitecture [C]. ISCA,2003.
    [37] A.Kumar, L.Shang, L.Peh et al. HybDTM: a coordinated hardware-softwareapproach for dynamic thermal management [C]. Proc. of the43rd DAC,2006:548-553.
    [38] F. Bellosa, A.Weissel, M.Waitz, S. Kellner. Event-driven energy accountingfor dynamic thermal management [C]. Workshop on Compilers and Operating Systemsfor Low Power,2003.
    [39] J.Yang, X.Zhou, M.Chrobak et al. Dynamic thermal management through taskscheduling [C]. IEEE Int’l Symp, on Performance Analysis of Systems andSoftware,2008.
    [40] D.Li, H.-C.Chang, H.K.Pyla, and K.W.Cameron. System-level, thermal-aware,fully-loaded process scheduling [C]. In IEEE International Symposium on Parallel andDistributed Processing,2008:1-7.
    [41] J.Choi, C.Cher, H.Franke et al. Thermal-aware task scheduling at the systemsoftware level [C]. Int’l Symp. on Low Power Electronics and Design,2007:213–218.
    [42] N. Bansal, T. Kimbrel, K. Pruhs. Dynamic speed scaling to manage energyand temperature [C].The45th Annual IEEE Symposium on Foundations of ComputerScience,2004:520-529.
    [43] N. Bansal, K. Pruhs. Speed scaling to manage temperature [C]. Symposium onTheoretical Aspects of Computer Science,2005:460-471.
    [44] S. Wang, R. Bettati. Reactive speed control in temperature-constrainedreal-time systems [C].The18th Euromicro Conference on Real-Time Systems,2006.
    [45] S. Wang, R. Bettati. Delay analysis in temperature-constrained hard real-timesystems with general task arrivals [C]. IEEE Real-Time Systems Symposium,2006:323-334.
    [46] P. Pillai, K. G. Shin. Real-time dynamic voltage scaling for low-powerembedded operating systems [C].The18th ACM Symposium on Operating SystemsPrinciples,2001:89-102.
    [47] K. Puttaswamy, G. H. Loh. Thermal analysis of a3D die-stackedhigh-performance microprocessor [C].ACM Great Lakes Symposium on VLSI,2006:19-24.
    [48] M. D. Powell, M. Gomaa, T. N. Vijaykumar. Heat-and-run: leveraging SMTand CMP to manage power density through the operating system [C]. Proc. of the11thInt’l Conf, on Architectural support for programming languages and operating systems,2004:260-270.
    [49] James Donald, Margaret Martonosi. Techniques for multicore thermalmanagement: classification and new exploration [C].ISCA2006:78-88.
    [50] K. Stavrou and P. Trancoso. Thermal-Aware Scheduling: A solution forFuture Chip Multiprocessors Thermal Problems [C]. In Proceedings of the9thEUROMICRO Conference on Digital System Design(DSD’06), IEEE.
    [51] A. Merkel and F. Bellosa. Balancing power consumption in multiprocessorsystems [C]. In First ACM SIGOPS EuroSys Conference, Leuven, Belgium,2006:18-21.
    [52] J. Choi. Thermal-aware task scheduling at the system software level [C].ISLPED, August27-29, Portland,2007:213-218.
    [53] Lin Li,ZHOU X,YANG J. ThresHot:An aggressive task scheduling approachin CMP thermal design [J]. Algorithmic Aspects in Information and Management,2008,5034:92-99.
    [54] Ron Kalla, Balaram Sinharoy, J.M. Tendler. IBM Power5Chip:A Dual-CoreMultithreaded Processor [J]. IEEE2004, vol.24(2):40-47.
    [55] T. Venton, M. Miller, R. Kalla, and A. Blanchard. A linux-based tool forhardware bring up, linux development, and manufacturing [J]. IBM Syst. J.,2005,vol.44(2):319-329.
    [56] B. Abhishek, C. Gilberto, M. Margaret. Full-System Chip MultiprocessorPower Evaluations Using FPGA-Based Emulation [C]. In Proceeding of the thirteenthinternational symposium on Low power electronics and design, Aug.2008.
    [57] F. Mulas, Michele Pittau et al. Thermal Balancing Policy for StreamingComputing on Multiprocessor Architectures, Design, Automation and Test in Europe[C]. DATE,2008.
    [58]王鲁光.多处理器片上系统中温度感知的任务调度算法研究[D].硕士学位论文,山东:山东大学,2012.
    [59]王中飞.系统级处理器热量控制的研究和设计[D].硕士学位论文,合肥:中国科学技术大学,2011.
    [60]夏亮.温度感知的调度算法研究与实现[D].硕士学位论文,上海:上海交通大学,2009.
    [61]叶经纬.基于多核温度感知的Linux进程调度器研究与实现[D].硕士学位论文,上海交通大学,2010.
    [62]尹晓静.面向众核温度感知的Linux调度器的研究与实现[D].硕士学位论文,上海交通大学,2011.
    [63] Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, Jun Yang. Thermal Managementfor3D Processor via Task Scheduling [C]. The37th International Conference onParallel Processing,2008.
    [64] S. Mysore, B. Agrawal, N. Srivastava, S. Lin, K. Banerjee, T. Sherwood.Introspective3D chips [C].ASPLOS2006:264-273.
    [65] Bryan Black, et al. Die stacking (3D) microarchitecture [C]. MICRO2006:469-479.
    [66] Y. Xie, G. Loh, B. Black, and K. Bernstein. Design space exploration for3Darchitecture”[J]. ACM Journal of Emerging Technologies for Computer Systems,2006,vol.(2):65-103.
    [67] K. Puttaswamy, G. H. Loh. Thermal herding: microarchitecture techniques forcontrolling hotspots in high-performance3D-integrated processors [C].HPCA,2007:193-204.
    [68] G. H. Loh, Y. Xie, B. Black. Processor design in3D die-stacking technologies[J]. IEEE Micro,2007, vol.27(3):31-48.
    [69] B. Goplen, S. S. Sapatnekar. Placement of thermal vias in3-D ICs usingvarious thermal objectives [J]. IEEE Trans, on CAD of Integrated Circuits and Systems2006, vol.25(4):692-709.
    [70] J. Moore, J. Chase, P. Ranganathan, R. Sharma. Making scheduling ‘cool’:temperature-aware workload placement in data centers [C]. USENIX2005AnnualTechnical Conference,2005:61-75.
    [71] T. Heath, A. P. Centeno, P. George, L. Ramos, Y. Jaluria, R. Bianchini.Mercury and freon: temperature emulation and management for server systems [C].International Conference on Architectural Support for Programming Language andOperating Systems,2006:106-116.
    [72] J. Choi, Y. Kim, A. Sivasubramaniam, J. Srebric, Q. Wang, J. Lee. Modelingand managing thermal profiles of rack-mounted servers with thermostat [C]. IEEE13thInternational Symposium on High-Performance Computer Architecture,2007.
    [73] M.J.Bach. The Design of the UNIX Operating System [M]. Pearson USImports&PHIPEs,US ed,1986.
    [74] D.P. Bovet and M. Cesati.Understanding The Linux Kernel3rd edition [M].
    [75] W. Mauerer.Professional Linux Kernel Architecture [M].
    [76] http://www.eecs.harvard.edu/~dbrooks/wattch-form.html.
    [77] http://research.compaq.com/wrl/home.html.
    [78]王永文.高性能微处理器体系结构级功耗估算与优化技术研究[D].博士学位论文,长沙:国防科学技术大学研究生院,2004.
    [79]张承义.超深亚微米微处理器漏流功耗的体系结构级优化技术研究[D].博士学位论文,长沙:国防科学技术大学研究生院,2006.
    [80] Kevin Skadron. Temperature-Aware Microarchitecture.
    [81] http://lava.es.virginia.edu/hotspot.
    [82] W. Huang et al. An improved block-based thermal model in HotSpot4.0withgranularity considerations. In Workshop on Duplicating, Deconstructing, andDebunking,2007.
    [83] Pierre Michaud. An Analytical Model of Temperature in Microprocessors.Rennes,France:IRISA/INRIA.2005
    [84] Pierre Michaud, ATMI: Analytical Model of Temperature in Microprocessors.
    [85] ATMI. http://www.irisa.fr/caps/projects/ATMI.
    [86] Yongkui Han, Israel Koren, C. Mani Krishna. TILTS: a fast architectural-leveltransient thermal simulation method [J]. Low Power Electronics,2007,vol.3(1):13-21.
    [87] K. Skadron et al. Temperature-aware microarchitecture: Extended discussionand results [C]. Tech Report CS-2003-08, U.Va. Dept of Computer Science,2003.
    [88] S. Lee, S. Song, V. Au, and K. Moran. Constricting/spreading resistancemodel for electronics packaging [C]. In Proc. AJTEC,1995:199-206.
    [89] GNU Scientific Library. http://www.gnu.org/software/gsl/.
    [90] Y. Han, I. Koren, and C. Krishna. Temptor: A lightweight runtimetemperature monitoring tool using performance counters [C]. Third Workshop onTemperature-Aware Computer Systems in conjunction with ISCA-33,2006.
    [91] F. Bellosa. The benefits of event-driven energy accounting in power-sensitivesystems. Proceeding EW9Proceedings of the9th workshop on ACM SIGOPSEuropean workshop: beyond the PC: new challenges for the operating system.2000:37-42.
    [92] C. Isci, M. Martonosi. Runtime power monitoring in high-end processors:methodology and empirical data [C]. The36th Annual International Symposium onMicroarchitecture,2003:93-104. The9th ACM SIGOPS European Workshop,2000.
    [93] R. Joseph, M. Martonosi. Run-time power estimation in high-performancemicroprocessors [C]. International Symposium on Low Power Electronics and Design,ISLPED,2001:135-140.
    [94] W. Huang, E. Humenay, K. Skadron, M. R. Stan. The need for a full-chip andpackage thermal model for thermally optimized IC designs [C]. The2005InternationalSymposium on Low Power Electronics and Design,2005:245-250.
    [95] L. He, W. Liao, M. R. Stan. System level leakage reduction considering theinterdependence of temperature and leakage [C]. Design Automation Conference,2004:12-17.
    [96] Y. Li, B. Lee, D. Brooks, Z. Hu, K. Skadron. CMP design space explorationsubject to physical constraints [C]. The12th IEEE International Symposium on HighPerformance Computer Architecture (HPCA),2006
    [97] Predictive Technology Model, http://www.eas.asu.edu/ptm/.
    [98] J. Yang, X. Zhou, M. Chrobak, Y. Zhang, L. Jin. Dynamic thermalmanagement through task scheduling [C].ISPASS,2008:191-201.
    [99] A. Krum. Thermal management [C]. In F. Kreith, editor The CRC handbookof thermal engineering. Boca Raton FL: CRC Press,2000:2.1-2.92.
    [100] K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, D.Tarjan. Temperature-aware microarchitecture [C]. The30th International Symposiumon Computer Architecture,2003:2-13.
    [101] C. Zhu, Z. P. Gu, L. Shang, R. P. Dick, and R. Joseph. Three-dimensionalchipmultiprocessor run-time thermal management [J]. IEEE Trans, Computer-AidedDesign of Integrated Circuits and Systems,2008, vol.27(8).
    [102] H. Hanson, S. Keckler, S. Ghiasi, K. Rajamani, F. Rawson, J. Rubio.Thermal response to DVFS: Analysis with an Intel Pentium M [C]. ISLPED,2007:219-224.
    [103] A.Kumar, L.Shang, L.-S.Peh, N. Jha. HybDTM: A coordinatedhardware-software approach for dynamic thermal management [C]. DAC,2006:548-553.
    [104] E. Kursun, C.-Y. Cher, A. Buyuktosunoglu, P. Bose. Investigating the effectsof task scheduling on thermal behavior [C]. Workshop on TACS,2006.
    [105] J. Stoer, R. Bulirsch. Introduction to numerical analysis [M].Springer-Verlag,2nd ed,1991.
    [106] B. Sprunt. Brink and abyss Pentium4performance counter tools for Linux.TR,2002.
    [107] N. Zeldovich, R. Chandra. Interactive performance measurement withVNCplay [C]. FREENIX Track: USENIX Annual Technical Conference,2005.
    [108] K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, D.Tarjan. Temperature-aware microarchitecture [C]. The30th International Symposiumon Computer Architecture,2003:2-13.
    [109] Yongkui Han, Israel Koren, C. Mani Krishna. TILTS: a fastarchitectural-level transient thermal simulation method [J]. Low Power Electronics2007, vol.3(1):13-21.
    [110] SKADRON K, STAN M R, HUANG W, VELUSAMY S,SANKARANARAYANAN K, TARJAN D. Temperature-aware microarchitecture [C]//ISCA '03: The thirtieth International Symposium on Computer Architecture, New York,ACM,2003:2-13.
    [111] CHROBAK M, D RR C, HURAND M, ROBERT J. Algorithms fortemperature-aware task scheduling in microprocessor systems [J]. Algorithmic Aspectsin Information and Management,2008:120-130.
    [112] James Donald, Margaret Martonosi. Techniques for multicore thermalmanagement: classification and new exploration [C]. ISCA2006:78-88.
    [113] M. D. Powell, M. Gomaa, T. N. Vijaykumar. Heat-and-run: leveraging SMTand CMP to manage power density through the operating system. ASPLOS,2004:260-270.
    [114] M.Chrobak and C.D¨urr and M.Hurand and J.Robert,“Algorithms fortemperature-aware task scheduling in microprocessor systems,” submitted forpublication.
    [115] A. Kumar, L. Shang, L.-S. Peh, N. Jha. HybDTM: A coordinatedhardware-software approach for dynamic thermal management [C]. DAC,2006:548-553.
    [116] E. Kursun, C. Y. Cher, A. Buyuktosunoglu, P. Bose. Investigating the effectsof task scheduling on thermal behavior [C]. The3rd Workshop on Temperature-AwareComputer Systems, Held in conjunction with ISCA-33,2006.
    [117] K. Banerjee, S. Souri, P. Kapur, and K. Saraswat.3-D ICs: a novel chipdesign for improving deep-submicrometer interconnect performance andsystems-on-chip integration [J]. Proceedings of the IEEE,2001, vol.(89):602–633.
    [118] K. Banerjee, S. Souri, P. Kapur, and K. Saraswat.3-D ICs: a novel chipdesign for improving deep-submicrometer interconnect performance andsystems-on-chip integration [J]. Proceedings of the IEEE,2001, vol.(89):602–633.
    [119] M. Awasthi, R. Balasubramonian. Exploring the design space for3Dclustered architectures [C].3rd IBM Watson Conference on Interaction betweenArchitecture, Circuits, and Compilers (P=ac2), Yorktown Heights,2006.
    [120] Bryan Black, et al. Die stacking (3D) microarchitecture [C]. MICRO2006:469-479.
    [121] G. H. Loh, Y. Xie, B. Black. Processor design in3D die-stackingtechnologies [J]. IEEE Micro,2007,27(3):31-48.
    [122] Y. Deng, W. P. Maly.2.5-dimensional VLSI system integration [J]. IEEETrans, VLSI Syst,2005,13(6):668-677.
    [123] W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, and S. Ghosh.HotSpot: a compact thermal modeling method for CMOS VLSI systems [J].IEEETransactions on Very Large Scale Integration (VLSI) Systems,2006,14(5):501-513.
    [124] K. Skadron, K. Sankaranarayanan, S. Velusamy, D. Tarjan, M. R. Stan, andW. Huang. Temperature-aware microarchitecture: modeling and implementation [J].ACM TACO,2004, vol.1(1):94-125.
    [125] D. Bovet, M. Cesati. Understanding the Linux kernel [M]. O’ReillyPublisher,3rd Edition,2005.
    [126] S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, J.Torrellas. VARIUS: A model of process variation and resulting timing errors formicroarchitects [J]. IEEE Transactions on Semiconductor Manufacturing,2008, Vol.21(1):3-13.
    [127] Radu Teodorescu, Josep Torrellas. Variation-aware application schedulingand power management for chip multiprocessors [C]. ISCA2008:363-374.
    [128] C. Isci, M. Martonosi. Runtime power monitoring in high-end processors:methodology and empirical data [C]. The36th Annual International Symposium onMicroarchitecture,2003:93-104.
    [129] Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, Jun Yang. Thermal Managementfor3D Processor via Task Scheduling [C]. The37th International Conference onParallel Processing,2008.
    [130] Xiuyi Zhou, Jun Yang, Yi Xu, Youtao Zhang, Jianhua Zhao. Thermal-AwareTask Scheduling for3D Multicore Processors [J]. IEEE Transactions on Parallel andDistributed Systems, Vol.21(1)2010:4-19
    [131] W. Wu, L. Jin, J. Yang, P. Liu, S. X. Tan. A systematic method forfunctional unit power estimation in microprocessors [C]. DAC2006:554-557.
    [132] Bailey C. Modelling the effect of temperature on productreliability[C]Semiconductor Thermal Measurement and Management Symposium,Ninteenth Annual IEEE2003.[S.l.]:IEEE Computer Science,2003:324-331.
    [133] Viswanath R, Wakharkar V, Watwe A, et al.Thermal performance challengesfrom silicon to systems [J]. Intel Technology Journal,2000(Q3):57-89.
    [134] Mahajan R, Brown K, Atluri V. The evolution of microprocessor packaging[J]. Intel Technology Journal,2002(Q3):89-101.
    [135] Heo S, Barr K, Asanovic K. Reducing power density through activitymigration [C]//Proceedings of the2003the International Symposium on Low PowerElectronics and Design.[S.l.]:ACM,2003:217-222.
    [136] Michaud P, Seznec A, Fetis D, et al. A study of thread migration intemperature-constrained multicores [J]. ACM Transactions on Architecture and CodeOptimization,2007(4):23-36.
    [137] Merkel A, Bellosa F. Balancing power consumption in multiprocessorsystems [C]//Proceedings of the2006EuroSys Conference on ACM SIGOPS OperatingSystems Review.[S.l.]:ACM,2006(40):403-414.
    [138] Stavrou K, Trancoso P. Thermal-aware scheduling:A solution for future chipmultiprocessors thermal problems[C]//Proceedings of the9th EUROMICROConference on Digital System Design.[S.l.]:IEEE Computer Science,2006:123-126.
    [139] Christiana I, Sazeides Y, Michaud P, et al. Third International SummerSchool on Advanced Computer Architecture and Compilation for Embedded Systems[Z]. European Network of Excellence on High Performance and Embedded Architectureand Compilation,2007(7):45-49.
    [140] Jason R, Roberts J. Multi-core programming:Increasing performance throughsoftware multithreading [M].[S.l.]:Intel Press,2007:33-40.
    [141] Mesa-Martinez F J, Brown M, Nayfach-Battilana J, et al. Measuringperformance, power, and temperature from real processors [C]//Proceedings of the2007Workshop on Experimental Computer Science.[S.l.]:USENIX Association,2007:17-27.
    [142] Bovet D, Cesati M. Understanding the Linux kernel [M].3rd ed.[S.l.]:O’Reilly Publisher,2005.
    [143] Frank B, Kellner S, Waitz M, et al. Event-driven energy accounting ofdynamic thermal management[C]//Proceedings of the Workshop on Compilers andOperating Systems for Low Power, USA, New Orleans,2003:44-53.
    [144] Jacobson H, Bose P, Hu Zhi–gang, et al. Stretching the limits ofclock-gating efficiency in server-class processors[C]//11th International Symposium onHigh-Performance Computer Architecture,2005.[S.l.]:IEEE Computer Science,2005:238-242.
    [145] Fisher T S, Avedisian C T, Krusius J P. Transient thermal response due toperiodic heating on a convectively cooled substrate [J]. IEEE Transactions onComponents, Packaging, and Manufacturing Technology,1996, vol.19(1):255-262.
    [146] J.M. Tender. Power4System Microarchitecture [J]. IBM Journal of Researchand Development,2002, vol.46(1):5-25.
    [147] Ron Kalla, Balaram Sinharoy, J.M. Tendler. IBM Power5Chip:A Dual-CoreMultithreaded Processor [J]. IEEE2004, vol.24(2):40-47.
    [148] D. Shin, J. Kim, S. Lee. Intra-Task Voltage Scheduling for Low-EnergyHard Real-Time Application [C]. IEEE Design and Test of Computers,2001.
    [149] D. Brooks, V. Tiwari, and M. Martonosi. Wattch:A framework forarchitectural-level power analysis and optimizations [C]. In Proceedings of the27thAnnual International Symposium on Computer Architecture,2000:83–94.
    [150] K. Stavrou and P. Trancoso. Thermal-Aware Scheduling: A solution forFuture Chip Multiprocessors Thermal Problems [C]. In Proceedings of the9thEUROMICRO Conference on Digital System Design, IEEE,2006.
    [151] T. Venton, M. Miller, R. Kalla, and A. Blanchard. A linux-based tool forhardware bring up, linux development, and manufacturing [J]. IBM Syst,2005,vol.44(2):319-329.
    [152] F. Mulas, Michele Pittau et al. Thermal Balancing Policy for StreamingComputing on Multiprocessor Architectures [C]. DATE,2008.
    [153] Y. Li, B. Lee, D. Brooks, Z. Hu, and K. Skafron. Impact of thermalconstraints on multi-core architectures [C]. Thermal and Thermomechanical Phenomenain Electronics Systems,2006:8-16.
    [154] K.-J. Lee and K. Skadron. Using performance counters for runtimetemperature sensing in high-performance processors [C]. In Proceedings of theWorkshop on High-Performance, Power-Aware Computing (HP-PAC),2005.
    [155] W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, andM. R. Stan. Hotspot: A compact thermal modeling methodology for early-stage vlsidesign [J]. IEEE Transactions on VLSI Systems,2006, vol.14(5):501–513.
    [156] R. Bergamaschi, I. Nair, G. Dittmann, H. Patel, G. Janssen, N. Dhanwada, A.Buyuktosunoglu, E. Acar, G.-J. Nam, D. Kucar, P. Bose, J. Darringer, and G. Han.Performance modeling for early analysis of multi-core systems [C]. Proceedings of the5th IEEE/ACM international conference on Hardware/software codesign and systemsynthesis,2005:209-214.
    [157] A.Flores, J. L. Aragon, and M. E. Acacio. Sim-powercmp: A detailedsimulator for energy consumption analysis in future embedded cmp architectures [C].21st International Conference on Advanced Information Networking and Applic ations,2007:752-757.
    [158] A. K. Coskun, T. S. Rosing, Y. Leblebici, and G. D. Micheli. A simulationmethodology for reliability analysis in multicore socs [C]. ACM Great LakesSymposium on VLSI,2006:95-99.
    [159] M. Monchiero, R. Canal, and A. Gonz′alez. Design space exploration formulticore architecture: A power/performance/thermal view [C]. Proceedings of the20thAnnual International Conference on Supercomputing,2006:177-186.
    [160] Friebe. L, Stolberg. H.-J, Berekovic. M, Moch. S, Kulaczewski.M.B,Dehnhardt. A, Pirsch. R. HiBRID-SoC-A System-on-Chip Architecture with twomultimedia DSPs and a RISC Core [C]. SOC Conference,2003. Proceedings. IEEEInternational [Systems-on-Chip]17-20,2003:85-88.
    [161] Pu Liu, Hang Li, Lingling Jin, Wei Wu, Sheldon Tan, Jun Yang. ThermalSimulation for Run-Time Temperature Tracking and Management [J]. IEEETransactions on Computer-Aided Design of Integrated Circuits and Systems,2006, Vol.25(12):2882-2894.
    [162] S. Lee, S. Song, V. Au, and K. Moran. Constricting/spreading resistancemodel for electronics packaging [C]. In Proc, merican-Japan Thermal Eng Conf,1995:199-206.
    [163] S. Ghiasi, J. Casmira, and D. Grunwald. Using ipc variation in workloadswith externally specified rates to reduce power consumption [C]. In Workshop onComplexity Eective Design,2000.
    [164] R. Bahar and S. Manne. Power and energy reduction via pipeline balancing[C]. In Proc, of the20th Intl. Sym, On Computer Architecture,2001.
    [165] K. Skadron, T. Abdelzaher, and M. R. Stan. Control theoretic techniques andthermal-RC modeling for accurate and localized dynamic thermal management [C]. InProc, HPCA,2002:17-28.
    [166] I. Yeo and E. J. Kim. Temperature-aware scheduler based on thermalbehavior grouping in multicore systems [C]. In Design, Automation Test in EuropeConference Exhibition,2009:946-951.
    [167] Raghunathan A, Jha N K, Dey S.1998. High-Level Power Analysis andOptimization [M]. Norwell, MA, USA: Kluwer Academic Publishers.
    [168] Spec2000benchmarks, http://www.spec.org/cpu2000/.
    [169] A. Abdollahi, F. Fallah, and Massoud. Runtime mechanisms for leakagecurrent reduction in cmos vlsi circuits. In Low Power Electronics and Design,2002.Proceedings of the2002International Symposium on,2002:213-218.
    [170] E. P. Agency. Report to congress on server and data center energy efficiency.page http://www.energystart.gov,2006.
    [171] F. Assaderaghi, D. Sinitsky, S. A. Parke, J. Bokor, P. Ko, and C. Hu.Dynamic threshold-voltage mosfet (dtmos) for ultra-low voltage vlsi [J]. IEEE Trans.On Elec. Dev,1997, vol.44(3):414-422.
    [172] N. Bansal, T. Kimbrel, and K. Pruhs. Speed scaling to manage energy andtemperature [J]. Journal of the ACM,2007, vol.54(1):1-39.
    [173] M. Bao, A. Andrei, P. Eles, and Z. Peng. On-line thermal aware dynamicvoltage scaling for energy optimization with frequency/temperature dependencyconsideration [C]. In Design Automation Conference,2009:490-495.
    [174] M. Bao, A. Andrei, P. Eles, and Z. Peng. Temperature-aware idle timedistribution for energy optimization with dynamic voltage scaling [C]. In DATE,2010:21-27.
    [175] C. Belady. In the data center, power and cooling costs more than the itequipment it supports [J]. Electronics Cooling,2007, vol.23(1).
    [176] K. G. Brill. The invisible crisis in the data center: The economic meltdownof moores law [C]. Uptime Institute,2007.
    [177] B. H. Calhoun, F. A. Honore, and A. Chandrakasan. Design methodology forne-grained leakage control in mtcmos [C]. ISLPED,2003:104-109.
    [178] T. Chantem, R. P. Dick, and X. S. Hu. Temperature-aware scheduling andassignment for hard real-time applications on mpsocs [C]. In DATE,2008:288-293.
    [179] T. Chantem, X. S. Hu, and R. Dick. Online work maximization under a peaktemperature constraint [C]. In ISLPED,2009:105-110.
    [180] V. Chaturvedi, H. Huang, and G. Quan. Leakage aware scheduling onmaximal temperature minimization for periodic hard real-time systems [C]. IEEE10thInternational Conference on, Computer and Information Technology (CIT),2010:1802-1809.
    [181] L.-T. Yeh and R. C. Chu. Thermal Management of MicroelectronicEquipment: Heat Transfer Theory, Analysis Methods, and Design Practices [M]. NewYork:ASME Press,2002.
    [182] J.-J. Chen, S. Wang, and L. Thiele. Proactive speed scheduling for real-timetasks under thermal constraints [C]. RTAS,2009:141-150.
    [183] A. Cohen, F. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy. Onestimating optimal performance of cpu dynamic thermal management [J]. IEEEComputer Architecture Letter,2003, vol.2(1):6-9.
    [184] A. Coskun, J. Ayala, D. Atienza, T. Rosing, and Y. Leblebici. Dynamicthermal management in3d multicore architectures [C]. In Design, Automation Test inEurope Conference Exhibition,2009:1410-1415.
    [185] S. Duarte, Y. Tsai, N. Vijaykrishnan, and M. Irwin. Evaluating run-timetechniques for leakage power reduction [C]. VLSID,2002.
    [186] P. Falkenstern, Y. Xie, Y.-W. Chang, and Y. Wang. Three-dimensionalintegrated circuits (3d ic) floorplan and power/ground network co-synthesis [C]. InDesign Automation Conference (ASP-DAC),201015th Asia and South Pacic,2010:169-174.
    [187] N. Fisher, J.-J. Chen, S. Wang, and L. Thiele. Thermal-aware globalreal-time scheduling on multicore systems [C]. RTAS,2009:131-140.
    [188] S. Fujita, K. Abe, K. Nomura, S. Yasuda, and T. Tanamoto. Perspectives andissues in3d-ic from designers' point of view [C]. In Circuits and Systems, IEEEInternational Symposium on,2009:73-76.
    [189] V. Hanumaiah, R. Rao, S. Vrudhula, and K. S. Chatha. Throughput optimaltask allocation under thermal constraints for multi-core processors [C]. In Proceedingsof the46th Annual Design Automation Conference,2009:776-781.
    [190] V. Hanumaiah, S. Vrudhula, and K. Chatha. Maximizing performance ofthermally constrained multi-core processors by dynamic voltage and frequency control[C]. In Computer-Aided Design-Digest of Technical Papers, IEEE/ACM InternationalConference on,2009:310-313.
    [191] H. Huang, G. Quan, and J. Fan. Leakage temperature dependency modeling
    [192] H. Huang, G. Quan, J. Fan, and M. Qiu. Throughput maximization forperiodic real-time systems under the maximal temperature constraint [C]. In DAC,2011:363-368.
    [193] C. Kim and K. Roy. Dynamic vth scaling scheme for active leakage powerreduction [C]. DATE,2002:163-167.
    [194] J. Lau. Evolution, challenge, and outlook of tsv,3d ic integration and3dsilicon integration [C]. In Advanced Packaging Materials (APM), InternationalSymposium on,2011:462-488.
    [195] J. H. Lau. Tsv manufacturing yield and hidden costs for3d ic integration [C].In Electronic Components and Technology Conference (ECTC),2010Proceedings60th,2010:1031-1042.
    [196] C.-H. Lee and K. Shin. On-line dynamic voltage scaling for hard real-timesystems using the edf algorithm [C]. In Real-Time Systems Symposium, Proceedings,25th IEEE International,2004:319-335.
    [197] S. Liu, J. Zhang, Q.Wu, and Q. Qiu. Thermal-aware job allocation andscheduling for three dimensional chip multiprocessor [C]. In Quality Electronic Design(ISQED),201011th International Symposium on,2010:390-398.
    [198] Y. Liu, R. P. Dick, L. Shang, and H. Yang. Accurate temperature-dependentintegrated circuit leakage power estimation is easy [C]. In DATE,2007:1526-1531.
    [199] Y. Liu, H. Yang, R. P. Dick, H. Wang, and L. Shang. Thermal vs energyoptimization for dvfs-enabled processors in embedded systems [C]. In ISQED,2007:204-209.
    [200] C.-L. Lung, Y.-L. Ho, D.-M. Kwai, and S.-C. Chang. Thermal-aware on-linetask allocation for3d multi-core processor throughput optimization [C]. In Design,Automation Test in Europe Conference Exhibition (DATE),2011:1-6.
    [201] S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd, and G. D. Micheli.Temperature-aware processor frequency assignment for mpsocs using convexoptimization [C]. In CODES+ISSS,2007:111-116.
    [202] K. Torki. Design platform and tools for3d ic integration [C]. In3D SystemsIntegration Conference (3DIC),2010IEEE International,2010:1-25.
    [203] Lars Schor, Hoeseok Yang, Iuliana Bacivarov, Lothar Thiele.Thermal-Aware Task Assignment for Real-Time Applications on Multi-Core Systems[C]. Lecture Notes in Computer Science Volume7542,2013, pp294-313.
    [204] Shizhuo Tang, Naifeng Jing, Weiguang Sheng, Weifeng He, Zhigang Mao.A Thermal-Aware Task Mapping Algorithm for Coarse Grain ReconfigurableComputing System [C]. Communications in Computer and Information ScienceVolume337,2013, pp211-220

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700